OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [toplevel/] [simulation/] [modelsim/] [wave_top.do] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 gdevic
onerror {resume}
2
quietly virtual function -install /test_top/dut/alu_ -env /test_top { &{/test_top/dut/alu_/op1_high, /test_top/dut/alu_/op1_low }} OP1
3
quietly virtual function -install /test_top/dut/alu_ -env /test_top { &{/test_top/dut/alu_/op2_high, /test_top/dut/alu_/op2_low }} OP2
4
quietly virtual function -install /test_top/dut/alu_ -env /test_top { &{/test_top/dut/alu_/result_hi, /test_top/dut/alu_/result_lo }} RESULT
5
quietly virtual function -install /test_top/dut/reg_file_ -env /test_top/dut/reg_file_/b2v_latch_af_lo { &{/test_top/dut/reg_file_/b2v_latch_af_hi/latch, /test_top/dut/reg_file_/b2v_latch_af_lo/latch }} AF
6
quietly virtual function -install /test_top/dut/reg_file_ -env /test_top/dut/reg_file_/b2v_latch_pc_lo { &{/test_top/dut/reg_file_/b2v_latch_pc_hi/latch, /test_top/dut/reg_file_/b2v_latch_pc_lo/latch }} PC
7
quietly virtual function -install /test_top/dut/reg_file_ -env /test_top/dut/reg_file_/b2v_latch_ir_lo { &{/test_top/dut/reg_file_/b2v_latch_ir_hi/latch, /test_top/dut/reg_file_/b2v_latch_ir_lo/latch }} IR
8
quietly virtual function -install /test_top/dut/reg_file_ -env /test_top/dut/reg_file_/b2v_latch_bc_lo { &{/test_top/dut/reg_file_/b2v_latch_bc_hi/latch, /test_top/dut/reg_file_/b2v_latch_bc_lo/latch }} BC
9
quietly virtual function -install /test_top/dut/reg_file_ -env /test_top/dut/reg_file_/b2v_latch_de_lo { &{/test_top/dut/reg_file_/b2v_latch_de_hi/latch, /test_top/dut/reg_file_/b2v_latch_de_lo/latch }} DE
10
quietly virtual function -install /test_top/dut/reg_file_ -env /test_top/dut/reg_file_/b2v_latch_hl_lo { &{/test_top/dut/reg_file_/b2v_latch_hl_hi/latch, /test_top/dut/reg_file_/b2v_latch_hl_lo/latch }} HL
11
quietly virtual function -install /test_top/dut/reg_file_ -env /test_top/dut/reg_file_/b2v_latch_sp_lo { &{/test_top/dut/reg_file_/b2v_latch_sp_hi/latch, /test_top/dut/reg_file_/b2v_latch_sp_lo/latch }} SP
12
quietly virtual function -install /test_top/dut/reg_file_ -env /test_top/dut/reg_file_/b2v_latch_wz_lo { &{/test_top/dut/reg_file_/b2v_latch_wz_hi/latch, /test_top/dut/reg_file_/b2v_latch_wz_lo/latch }} WZ
13
quietly WaveActivateNextPane {} 0
14
add wave -noupdate -expand -group {pads
15
} /test_top/z80/CLK
16
add wave -noupdate -expand -group {pads
17
} /test_top/z80/nM1
18
add wave -noupdate -expand -group {pads
19
} -color Gray90 /test_top/z80/nMREQ
20
add wave -noupdate -expand -group {pads
21
} /test_top/z80/nIORQ
22
add wave -noupdate -expand -group {pads
23
} /test_top/z80/nRD
24
add wave -noupdate -expand -group {pads
25
} /test_top/z80/nWR
26
add wave -noupdate -expand -group {pads
27
} /test_top/z80/nRFSH
28
add wave -noupdate -expand -group {pads
29
} /test_top/z80/nBUSRQ
30
add wave -noupdate -expand -group {pads
31
} /test_top/z80/nBUSACK
32
add wave -noupdate -expand -group {pads
33
} /test_top/z80/nHALT
34
add wave -noupdate -expand -group {pads
35
} /test_top/z80/nWAIT
36
add wave -noupdate -expand -group {pads
37
} /test_top/z80/nINT
38
add wave -noupdate -expand -group {pads
39
} /test_top/z80/nNMI
40
add wave -noupdate -expand -group {pads
41
} -radix hexadecimal /test_top/z80/A
42
add wave -noupdate -expand -group {pads
43
} -radix hexadecimal -childformat {{{/test_top/z80/D[7]} -radix hexadecimal} {{/test_top/z80/D[6]} -radix hexadecimal} {{/test_top/z80/D[5]} -radix hexadecimal} {{/test_top/z80/D[4]} -radix hexadecimal} {{/test_top/z80/D[3]} -radix hexadecimal} {{/test_top/z80/D[2]} -radix hexadecimal} {{/test_top/z80/D[1]} -radix hexadecimal} {{/test_top/z80/D[0]} -radix hexadecimal}} -subitemconfig {{/test_top/z80/D[7]} {-height 15 -radix hexadecimal} {/test_top/z80/D[6]} {-height 15 -radix hexadecimal} {/test_top/z80/D[5]} {-height 15 -radix hexadecimal} {/test_top/z80/D[4]} {-height 15 -radix hexadecimal} {/test_top/z80/D[3]} {-height 15 -radix hexadecimal} {/test_top/z80/D[2]} {-height 15 -radix hexadecimal} {/test_top/z80/D[1]} {-height 15 -radix hexadecimal} {/test_top/z80/D[0]} {-height 15 -radix hexadecimal}} /test_top/z80/D
44
add wave -noupdate -group sequencer /test_top/dut/sequencer_/nextM
45
add wave -noupdate -group sequencer /test_top/dut/sequencer_/setM1
46
add wave -noupdate -group sequencer -group internal /test_top/dut/sequencer_/hold_clk_iorq
47
add wave -noupdate -group sequencer -group internal /test_top/dut/sequencer_/hold_clk_wait
48
add wave -noupdate -group sequencer -group internal /test_top/dut/sequencer_/hold_clk_busrq
49
add wave -noupdate -group sequencer -group internal /test_top/dut/sequencer_/ena_M
50
add wave -noupdate -group sequencer -group internal /test_top/dut/sequencer_/ena_T
51
add wave -noupdate -group sequencer -expand -group function /test_top/dut/pin_control_/fFetch
52
add wave -noupdate -group sequencer -expand -group function /test_top/dut/pin_control_/fMRead
53
add wave -noupdate -group sequencer -expand -group function /test_top/dut/pin_control_/fMWrite
54
add wave -noupdate -group sequencer -expand -group function /test_top/dut/pin_control_/fIORead
55
add wave -noupdate -group sequencer -expand -group function /test_top/dut/pin_control_/fIOWrite
56
add wave -noupdate -group sequencer -expand -group M /test_top/dut/sequencer_/M1
57
add wave -noupdate -group sequencer -expand -group M /test_top/dut/sequencer_/M2
58
add wave -noupdate -group sequencer -expand -group M /test_top/dut/sequencer_/M3
59
add wave -noupdate -group sequencer -expand -group M /test_top/dut/sequencer_/M4
60
add wave -noupdate -group sequencer -expand -group M /test_top/dut/sequencer_/M5
61
add wave -noupdate -group sequencer -expand -group M /test_top/dut/sequencer_/M6
62
add wave -noupdate -group sequencer -expand -group T /test_top/dut/sequencer_/T1
63
add wave -noupdate -group sequencer -expand -group T /test_top/dut/sequencer_/T2
64
add wave -noupdate -group sequencer -expand -group T /test_top/dut/sequencer_/T3
65
add wave -noupdate -group sequencer -expand -group T /test_top/dut/sequencer_/T4
66
add wave -noupdate -group sequencer -expand -group T /test_top/dut/sequencer_/T5
67
add wave -noupdate -group sequencer -expand -group T /test_top/dut/sequencer_/T6
68
add wave -noupdate -group opcode /test_top/dut/instruction_reg_/ctl_ir_we
69
add wave -noupdate -group opcode /test_top/dut/instruction_reg_/opcode
70
add wave -noupdate -group db -radix hexadecimal /test_top/dut/db0
71
add wave -noupdate -group db -radix hexadecimal /test_top/dut/db1
72
add wave -noupdate -group db -radix hexadecimal /test_top/dut/db2
73
add wave -noupdate -group {bus control} /test_top/dut/bus_control_/ctl_bus_ff_oe
74
add wave -noupdate -group {bus control} /test_top/dut/bus_control_/ctl_bus_zero_oe
75
add wave -noupdate -group {bus control} /test_top/dut/bus_control_/ctl_bus_db_oe
76
add wave -noupdate -group {bus control} /test_top/dut/pin_control_/bus_ab_pin_we
77
add wave -noupdate -group {bus control} /test_top/dut/pin_control_/bus_db_pin_oe
78
add wave -noupdate -group {bus control} /test_top/dut/pin_control_/bus_db_pin_re
79
add wave -noupdate -group {bus control} /test_top/dut/fpga_reset
80
add wave -noupdate -group {bus control} /test_top/dut/nreset
81
add wave -noupdate -group {bus control} /test_top/dut/control_pins_/in_halt
82
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_exx
83
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_ex_af
84
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_ex_de_hl
85
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_use_sp
86
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/nreset
87
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_sel_pc
88
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_sel_ir
89
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_sel_wz
90
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_gp_we
91
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_not_pc
92
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/use_ixiy
93
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/use_ix
94
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_sys_we_lo
95
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_sys_we_hi
96
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_sys_we
97
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/clk
98
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_gp_hilo
99
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_gp_sel
100
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/ctl_reg_sys_hilo
101
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_bc
102
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_bc2
103
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_ix
104
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_iy
105
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_de
106
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_hl
107
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_de2
108
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_hl2
109
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_af
110
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_af2
111
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_wz
112
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_pc
113
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_ir
114
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_sp
115
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_gp_hi
116
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_gp_lo
117
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_sys_lo
118
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sel_sys_hi
119
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_gp_we
120
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sys_we_lo
121
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/reg_sys_we_hi
122
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/bank_af
123
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/bank_exx
124
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/bank_hl_de1
125
add wave -noupdate -group {reg control} /test_top/dut/reg_control_/bank_hl_de2
126
add wave -noupdate -group regfile /test_top/dut/reg_file_/AF
127
add wave -noupdate -group regfile /test_top/dut/reg_file_/BC
128
add wave -noupdate -group regfile /test_top/dut/reg_file_/DE
129
add wave -noupdate -group regfile /test_top/dut/reg_file_/HL
130
add wave -noupdate -group regfile /test_top/dut/reg_file_/SP
131
add wave -noupdate -group regfile /test_top/dut/reg_file_/WZ
132
add wave -noupdate -group regfile /test_top/dut/reg_file_/PC
133
add wave -noupdate -group regfile /test_top/dut/reg_file_/IR
134
add wave -noupdate -group regfile -radix hexadecimal /test_top/dut/reg_file_/db_hi_ds
135
add wave -noupdate -group regfile -radix hexadecimal /test_top/dut/reg_file_/db_lo_ds
136
add wave -noupdate -group regfile -group selects -color Thistle /test_top/dut/reg_file_/reg_gp_we
137
add wave -noupdate -group regfile -group selects -color Gold /test_top/dut/reg_file_/reg_sel_gp_lo
138
add wave -noupdate -group regfile -group selects -color Gold /test_top/dut/reg_file_/reg_sel_gp_hi
139
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_sp
140
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_iy
141
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_ix
142
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_hl2
143
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_hl
144
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_de2
145
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_de
146
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_bc2
147
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_bc
148
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_af2
149
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_af
150
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sys_we_lo
151
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sys_we_hi
152
add wave -noupdate -group regfile -group selects -color Gold /test_top/dut/reg_file_/reg_sel_sys_lo
153
add wave -noupdate -group regfile -group selects -color Gold /test_top/dut/reg_file_/reg_sel_sys_hi
154
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_wz
155
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_ir
156
add wave -noupdate -group regfile -group selects /test_top/dut/reg_file_/reg_sel_pc
157
add wave -noupdate -group regfile -radix hexadecimal /test_top/dut/reg_file_/db_hi_as
158
add wave -noupdate -group regfile -radix hexadecimal -childformat {{{/test_top/dut/reg_file_/db_lo_as[7]} -radix hexadecimal} {{/test_top/dut/reg_file_/db_lo_as[6]} -radix hexadecimal} {{/test_top/dut/reg_file_/db_lo_as[5]} -radix hexadecimal} {{/test_top/dut/reg_file_/db_lo_as[4]} -radix hexadecimal} {{/test_top/dut/reg_file_/db_lo_as[3]} -radix hexadecimal} {{/test_top/dut/reg_file_/db_lo_as[2]} -radix hexadecimal} {{/test_top/dut/reg_file_/db_lo_as[1]} -radix hexadecimal} {{/test_top/dut/reg_file_/db_lo_as[0]} -radix hexadecimal}} -subitemconfig {{/test_top/dut/reg_file_/db_lo_as[7]} {-height 15 -radix hexadecimal} {/test_top/dut/reg_file_/db_lo_as[6]} {-height 15 -radix hexadecimal} {/test_top/dut/reg_file_/db_lo_as[5]} {-height 15 -radix hexadecimal} {/test_top/dut/reg_file_/db_lo_as[4]} {-height 15 -radix hexadecimal} {/test_top/dut/reg_file_/db_lo_as[3]} {-height 15 -radix hexadecimal} {/test_top/dut/reg_file_/db_lo_as[2]} {-height 15 -radix hexadecimal} {/test_top/dut/reg_file_/db_lo_as[1]} {-height 15 -radix hexadecimal} {/test_top/dut/reg_file_/db_lo_as[0]} {-height 15 -radix hexadecimal}} /test_top/dut/reg_file_/db_lo_as
159
add wave -noupdate -group switch /test_top/dut/bus_switch_/ctl_sw_mask543_en
160
add wave -noupdate -group switch /test_top/dut/bus_switch_/ctl_sw_1u
161
add wave -noupdate -group switch /test_top/dut/bus_switch_/ctl_sw_1d
162
add wave -noupdate -group switch /test_top/dut/bus_switch_/ctl_sw_2u
163
add wave -noupdate -group switch /test_top/dut/bus_switch_/ctl_sw_2d
164
add wave -noupdate -group switch -color Aquamarine /test_top/dut/reg_file_/ctl_sw_4d
165
add wave -noupdate -group switch -color Aquamarine /test_top/dut/reg_file_/ctl_sw_4u
166
add wave -noupdate -group {data pins} /test_top/dut/data_pins_/bus_db_pin_oe
167
add wave -noupdate -group {data pins} /test_top/dut/data_pins_/bus_db_pin_re
168
add wave -noupdate -group {data pins} /test_top/dut/data_pins_/ctl_bus_db_we
169
add wave -noupdate -group {data pins} /test_top/dut/data_pins_/bus_db_oe
170
add wave -noupdate -group {data pins} -radix hexadecimal /test_top/dut/data_pins_/D
171
add wave -noupdate -group {data pins} -radix hexadecimal /test_top/dut/data_pins_/db
172
add wave -noupdate -group {alu
173
 control} /test_top/dut/alu_control_/alu_shift_db0
174
add wave -noupdate -group {alu
175
 control} /test_top/dut/alu_control_/alu_shift_db7
176
add wave -noupdate -group {alu
177
 control} /test_top/dut/alu_control_/ctl_shift_en
178
add wave -noupdate -group {alu
179
 control} /test_top/dut/alu_control_/flags_hf
180
add wave -noupdate -group {alu
181
 control} /test_top/dut/alu_control_/ctl_alu_op_low
182
add wave -noupdate -group {alu
183
 control} /test_top/dut/alu_control_/alu_parity_out
184
add wave -noupdate -group {alu
185
 control} /test_top/dut/alu_control_/flags_zf
186
add wave -noupdate -group {alu
187
 control} /test_top/dut/alu_control_/flags_pf
188
add wave -noupdate -group {alu
189
 control} /test_top/dut/alu_control_/flags_sf
190
add wave -noupdate -group {alu
191
 control} /test_top/dut/alu_control_/ctl_cond_short
192
add wave -noupdate -group {alu
193
 control} /test_top/dut/alu_control_/alu_vf_out
194
add wave -noupdate -group {alu
195
 control} /test_top/dut/alu_control_/iff2
196
add wave -noupdate -group {alu
197
 control} /test_top/dut/alu_control_/ctl_pf_sel
198
add wave -noupdate -group {alu
199
 control} /test_top/dut/alu_control_/op543
200
add wave -noupdate -group {alu
201
 control} /test_top/dut/alu_control_/alu_shift_in
202
add wave -noupdate -group {alu
203
 control} /test_top/dut/alu_control_/alu_shift_right
204
add wave -noupdate -group {alu
205
 control} /test_top/dut/alu_control_/alu_shift_left
206
add wave -noupdate -group {alu
207
 control} /test_top/dut/alu_control_/shift_cf_out
208
add wave -noupdate -group {alu
209
 control} /test_top/dut/alu_control_/alu_parity_in
210
add wave -noupdate -group {alu
211
 control} /test_top/dut/alu_control_/flags_cond_true
212
add wave -noupdate -group {alu
213
 control} /test_top/dut/alu_control_/pf_sel
214
add wave -noupdate -group {alu
215
 control} /test_top/dut/alu_control_/alu_op_low
216
add wave -noupdate -group {alu
217
 control} /test_top/dut/alu_control_/alu_core_cf_in
218
add wave -noupdate -group {alu
219
 control} -radix hexadecimal /test_top/dut/alu_control_/db
220
add wave -noupdate -group {alu
221
 control} -radix hexadecimal /test_top/dut/alu_control_/out
222
add wave -noupdate -group {alu
223
 control} -radix hexadecimal /test_top/dut/alu_control_/sel
224
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_oe
225
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_bus
226
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_alu
227
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/alu_sf_out
228
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/alu_yf_out
229
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/alu_xf_out
230
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_nf_set
231
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/alu_zero
232
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/shift_cf_out
233
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/alu_core_cf_out
234
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/daa_cf_out
235
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_cf_set
236
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_cf_cpl
237
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_hf_cpl
238
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/pf_sel
239
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_cf_we
240
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_sz_we
241
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_xy_we
242
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_hf_we
243
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_pf_we
244
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/ctl_flags_nf_we
245
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/flags_sf
246
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/flags_zf
247
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/flags_pf
248
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/flags_cf
249
add wave -noupdate -group {alu flags} /test_top/dut/alu_flags_/flags_nf
250
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_oe
251
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_shift_oe
252
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_op2_oe
253
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_res_oe
254
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_op1_oe
255
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_bs_oe
256
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_op1_sel_bus
257
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_op1_sel_low
258
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_op1_sel_zero
259
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_op2_sel_zero
260
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_op2_sel_bus
261
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_op2_sel_lq
262
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_sel_op2_neg
263
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_sel_op2_high
264
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_core_R
265
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_core_V
266
add wave -noupdate -group {alu select} /test_top/dut/alu_select_/ctl_alu_core_S
267
add wave -noupdate -group {alu
268
} -color Green -radix hexadecimal /test_top/dut/alu_/OP1
269
add wave -noupdate -group {alu
270
} -color Green -radix hexadecimal /test_top/dut/alu_/OP2
271
add wave -noupdate -group {alu
272
} /test_top/dut/alu_/RESULT
273
add wave -noupdate -group {alu
274
} /test_top/dut/alu_/alu_bs_oe
275
add wave -noupdate -group {alu
276
} /test_top/dut/alu_/alu_parity_in
277
add wave -noupdate -group {alu
278
} /test_top/dut/alu_/alu_oe
279
add wave -noupdate -group {alu
280
} /test_top/dut/alu_/alu_shift_oe
281
add wave -noupdate -group {alu
282
} /test_top/dut/alu_/alu_op2_oe
283
add wave -noupdate -group {alu
284
} /test_top/dut/alu_/alu_op1_oe
285
add wave -noupdate -group {alu
286
} /test_top/dut/alu_/alu_res_oe
287
add wave -noupdate -group {alu
288
} /test_top/dut/alu_/alu_op1_sel_low
289
add wave -noupdate -group {alu
290
} /test_top/dut/alu_/alu_op1_sel_zero
291
add wave -noupdate -group {alu
292
} /test_top/dut/alu_/alu_op1_sel_bus
293
add wave -noupdate -group {alu
294
} /test_top/dut/alu_/alu_op2_sel_zero
295
add wave -noupdate -group {alu
296
} /test_top/dut/alu_/alu_op2_sel_bus
297
add wave -noupdate -group {alu
298
} /test_top/dut/alu_/alu_op2_sel_lq
299
add wave -noupdate -group {alu
300
} /test_top/dut/alu_/alu_op_low
301
add wave -noupdate -group {alu
302
} /test_top/dut/alu_/alu_shift_in
303
add wave -noupdate -group {alu
304
} /test_top/dut/alu_/alu_sel_op2_neg
305
add wave -noupdate -group {alu
306
} /test_top/dut/alu_/alu_sel_op2_high
307
add wave -noupdate -group {alu
308
} /test_top/dut/alu_/alu_shift_left
309
add wave -noupdate -group {alu
310
} /test_top/dut/alu_/alu_shift_right
311
add wave -noupdate -group {alu
312
} -radix hexadecimal /test_top/dut/alu_/bsel
313
add wave -noupdate -group {alu
314
} /test_top/dut/alu_/alu_zero
315
add wave -noupdate -group {alu
316
} /test_top/dut/alu_/alu_parity_out
317
add wave -noupdate -group {alu
318
} /test_top/dut/alu_/alu_high_eq_9
319
add wave -noupdate -group {alu
320
} /test_top/dut/alu_/alu_high_gt_9
321
add wave -noupdate -group {alu
322
} /test_top/dut/alu_/alu_low_gt_9
323
add wave -noupdate -group {alu
324
} /test_top/dut/alu_/alu_shift_db0
325
add wave -noupdate -group {alu
326
} /test_top/dut/alu_/alu_shift_db7
327
add wave -noupdate -group {alu
328
} /test_top/dut/alu_/alu_sf_out
329
add wave -noupdate -group {alu
330
} /test_top/dut/alu_/alu_yf_out
331
add wave -noupdate -group {alu
332
} /test_top/dut/alu_/alu_xf_out
333
add wave -noupdate -group {alu
334
} /test_top/dut/alu_/alu_vf_out
335
add wave -noupdate -group {alu
336
} -radix hexadecimal /test_top/dut/alu_/db
337
add wave -noupdate -group {alu
338
} -radix hexadecimal /test_top/dut/alu_/test_db_high
339
add wave -noupdate -group {alu
340
} -radix hexadecimal /test_top/dut/alu_/test_db_low
341
add wave -noupdate -group {alu
342
} -color Magenta /test_top/dut/alu_/alu_core_R
343
add wave -noupdate -group {alu
344
} -color Magenta /test_top/dut/alu_/alu_core_V
345
add wave -noupdate -group {alu
346
} -color Magenta /test_top/dut/alu_/alu_core_S
347
add wave -noupdate -group {alu
348
} -color Magenta /test_top/dut/alu_/alu_core_cf_in
349
add wave -noupdate -group {alu
350
} -color Magenta -radix hexadecimal /test_top/dut/alu_/alu_op1
351
add wave -noupdate -group {alu
352
} -color Magenta -radix hexadecimal /test_top/dut/alu_/alu_op2
353
add wave -noupdate -group {alu
354
} -color Red /test_top/dut/alu_/alu_core_cf_out
355
add wave -noupdate -group {alu
356
} -radix hexadecimal /test_top/dut/alu_/result_hi
357
add wave -noupdate -group {alu
358
} -radix hexadecimal /test_top/dut/alu_/result_lo
359
add wave -noupdate -group {alu
360
} -radix hexadecimal /test_top/dut/alu_/db_high
361
add wave -noupdate -group {alu
362
} -radix hexadecimal /test_top/dut/alu_/db_low
363
add wave -noupdate -group {alu
364
} -radix hexadecimal /test_top/dut/alu_/op1_high
365
add wave -noupdate -group {alu
366
} -radix hexadecimal /test_top/dut/alu_/op1_low
367
add wave -noupdate -group {alu
368
} -radix hexadecimal /test_top/dut/alu_/op2_high
369
add wave -noupdate -group {alu
370
} -radix hexadecimal /test_top/dut/alu_/op2_low
371
add wave -noupdate -group {address latch} /test_top/dut/address_latch_/ctl_inc_cy
372
add wave -noupdate -group {address latch} /test_top/dut/address_latch_/ctl_inc_dec
373
add wave -noupdate -group {address latch} /test_top/dut/address_latch_/ctl_inc_zero
374
add wave -noupdate -group {address latch} /test_top/dut/address_latch_/ctl_al_we
375
add wave -noupdate -group {address latch} /test_top/dut/address_latch_/ctl_inc_limit6
376
add wave -noupdate -group {address latch} /test_top/dut/address_latch_/ctl_bus_inc_oe
377
add wave -noupdate -group {address latch} /test_top/dut/address_latch_/address_is_1
378
add wave -noupdate -group {address latch} /test_top/dut/address_latch_/ctl_apin_mux
379
add wave -noupdate -group {address latch} /test_top/dut/address_latch_/ctl_apin_mux2
380
add wave -noupdate -group {address latch} -radix hexadecimal /test_top/dut/address_latch_/abus
381
add wave -noupdate -group {address latch} -radix hexadecimal -childformat {{{/test_top/dut/address_latch_/address[15]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[14]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[13]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[12]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[11]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[10]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[9]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[8]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[7]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[6]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[5]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[4]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[3]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[2]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[1]} -radix hexadecimal} {{/test_top/dut/address_latch_/address[0]} -radix hexadecimal}} -subitemconfig {{/test_top/dut/address_latch_/address[15]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[14]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[13]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[12]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[11]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[10]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[9]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[8]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[7]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[6]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[5]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[4]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[3]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[2]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[1]} {-height 15 -radix hexadecimal} {/test_top/dut/address_latch_/address[0]} {-height 15 -radix hexadecimal}} /test_top/dut/address_latch_/address
382
add wave -noupdate -group {address pins} /test_top/dut/address_pins_/bus_ab_pin_we
383
add wave -noupdate -group {address pins} /test_top/dut/address_pins_/pin_control_oe
384
add wave -noupdate -group {address pins} -label apin_latch /test_top/dut/address_pins_/DFFE_apin_latch
385
add wave -noupdate -group state /test_top/dut/decode_state_/ctl_state_iy_set
386
add wave -noupdate -group state /test_top/dut/decode_state_/ctl_state_ixiy_clr
387
add wave -noupdate -group state /test_top/dut/decode_state_/ctl_state_ixiy_we
388
add wave -noupdate -group state /test_top/dut/decode_state_/ctl_state_halt_set
389
add wave -noupdate -group state /test_top/dut/decode_state_/ctl_state_tbl_clr
390
add wave -noupdate -group state /test_top/dut/decode_state_/ctl_state_tbl_ed_set
391
add wave -noupdate -group state /test_top/dut/decode_state_/ctl_state_tbl_cb_set
392
add wave -noupdate -group state /test_top/dut/decode_state_/ctl_state_alu
393
add wave -noupdate -group state /test_top/dut/decode_state_/address_is_1
394
add wave -noupdate -group state /test_top/dut/decode_state_/ctl_repeat_we
395
add wave -noupdate -group state /test_top/dut/decode_state_/in_intr
396
add wave -noupdate -group state /test_top/dut/decode_state_/in_nmi
397
add wave -noupdate -group state /test_top/dut/decode_state_/nreset
398
add wave -noupdate -group state /test_top/dut/decode_state_/in_halt
399
add wave -noupdate -group state /test_top/dut/decode_state_/table_cb
400
add wave -noupdate -group state /test_top/dut/decode_state_/table_ed
401
add wave -noupdate -group state /test_top/dut/decode_state_/table_xx
402
add wave -noupdate -group state /test_top/dut/decode_state_/use_ix
403
add wave -noupdate -group state /test_top/dut/decode_state_/use_ixiy
404
add wave -noupdate -group state /test_top/dut/decode_state_/in_alu
405
add wave -noupdate -group state /test_top/dut/decode_state_/repeat_en
406
add wave -noupdate -group interrupts /test_top/dut/interrupts_/intr
407
add wave -noupdate -group interrupts /test_top/dut/interrupts_/iff1
408
add wave -noupdate -group interrupts /test_top/dut/interrupts_/iff2
409
add wave -noupdate -group interrupts /test_top/dut/interrupts_/im1
410
add wave -noupdate -group interrupts /test_top/dut/interrupts_/im2
411
add wave -noupdate -group interrupts /test_top/dut/interrupts_/nmi
412
add wave -noupdate -group interrupts /test_top/dut/interrupts_/ctl_iff1_iff2
413
add wave -noupdate -group interrupts /test_top/dut/interrupts_/ctl_iffx_we
414
add wave -noupdate -group interrupts /test_top/dut/interrupts_/ctl_iffx_bit
415
add wave -noupdate -group interrupts /test_top/dut/interrupts_/ctl_im_we
416
add wave -noupdate -group interrupts /test_top/dut/interrupts_/ctl_no_ints
417
add wave -noupdate -group interrupts /test_top/dut/interrupts_/in_nmi
418
add wave -noupdate -group interrupts /test_top/dut/interrupts_/in_intr
419
add wave -noupdate -group interrupts /test_top/dut/interrupts_/int_armed
420
add wave -noupdate -group interrupts /test_top/dut/interrupts_/nmi_armed
421
TreeUpdate [SetDefaultTree]
422
WaveRestoreCursors {Cursor {3900 ns} 0}
423
quietly wave cursor active 1
424
configure wave -namecolwidth 163
425
configure wave -valuecolwidth 53
426
configure wave -justifyvalue left
427
configure wave -signalnamewidth 1
428
configure wave -snapdistance 10
429
configure wave -datasetprefix 0
430
configure wave -rowmargin 4
431
configure wave -childrowmargin 2
432
configure wave -gridoffset 0
433
configure wave -gridperiod 1
434
configure wave -griddelta 40
435
configure wave -timeline 1
436
configure wave -timelineunits us
437
update
438
WaveRestoreZoom {0 ns} {7800 ns}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.