OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [toplevel/] [toplevel.qsf] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 gdevic
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2013 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 64-Bit
20
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21
# Date created = 09:31:29  October 13, 2014
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               toplevel_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
###########################################################################
39
# System Clocks
40
###########################################################################
41
set_location_assignment PIN_D12 -to CLOCK_27
42
set_location_assignment PIN_E12 -to CLOCK_27_1
43
set_location_assignment PIN_B12 -to CLOCK_24
44
set_location_assignment PIN_A12 -to CLOCK_24_1
45
set_location_assignment PIN_L1 -to CLOCK_50
46
set_location_assignment PIN_M21 -to EXT_CLOCK
47
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_27
48
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_27_1
49
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24
50
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24_1
51
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_50
52
set_instance_assignment -name IO_STANDARD LVTTL -to EXT_CLOCK
53
 
54
###########################################################################
55
# Pushbuttons
56
###########################################################################
57
set_location_assignment PIN_R22 -to KEY0
58
set_location_assignment PIN_R21 -to KEY1
59
set_location_assignment PIN_T22 -to KEY2
60
set_location_assignment PIN_T21 -to KEY3
61
set_instance_assignment -name IO_STANDARD LVTTL -to KEY0
62
set_instance_assignment -name IO_STANDARD LVTTL -to KEY1
63
set_instance_assignment -name IO_STANDARD LVTTL -to KEY2
64
set_instance_assignment -name IO_STANDARD LVTTL -to KEY3
65
 
66
###########################################################################
67
# Toggle switches
68
###########################################################################
69
set_location_assignment PIN_L22 -to SW0
70
set_location_assignment PIN_L21 -to SW1
71
set_location_assignment PIN_M22 -to SW2
72
set_location_assignment PIN_V12 -to SW3
73
set_location_assignment PIN_W12 -to SW4
74
set_location_assignment PIN_U12 -to SW5
75
set_location_assignment PIN_U11 -to SW6
76
set_location_assignment PIN_M2 -to SW7
77
set_location_assignment PIN_M1 -to SW8
78
set_location_assignment PIN_L2 -to SW9
79
set_instance_assignment -name IO_STANDARD LVTTL -to SW0
80
set_instance_assignment -name IO_STANDARD LVTTL -to SW1
81
set_instance_assignment -name IO_STANDARD LVTTL -to SW2
82
set_instance_assignment -name IO_STANDARD LVTTL -to SW3
83
set_instance_assignment -name IO_STANDARD LVTTL -to SW4
84
set_instance_assignment -name IO_STANDARD LVTTL -to SW5
85
set_instance_assignment -name IO_STANDARD LVTTL -to SW6
86
set_instance_assignment -name IO_STANDARD LVTTL -to SW7
87
set_instance_assignment -name IO_STANDARD LVTTL -to SW8
88
set_instance_assignment -name IO_STANDARD LVTTL -to SW9
89
 
90
###########################################################################
91
# LEDs
92
###########################################################################
93
set_location_assignment PIN_R20 -to LEDR[0]
94
set_location_assignment PIN_R19 -to LEDR[1]
95
set_location_assignment PIN_U19 -to LEDR[2]
96
set_location_assignment PIN_Y19 -to LEDR[3]
97
set_location_assignment PIN_T18 -to LEDR[4]
98
set_location_assignment PIN_V19 -to LEDR[5]
99
set_location_assignment PIN_Y18 -to LEDR[6]
100
set_location_assignment PIN_U18 -to LEDR[7]
101
set_location_assignment PIN_R18 -to LEDR[8]
102
set_location_assignment PIN_R17 -to LEDR[9]
103
set_location_assignment PIN_U22 -to LEDG[0]
104
set_location_assignment PIN_U21 -to LEDG[1]
105
set_location_assignment PIN_V22 -to LEDG[2]
106
set_location_assignment PIN_V21 -to LEDG[3]
107
set_location_assignment PIN_W22 -to LEDG[4]
108
set_location_assignment PIN_W21 -to LEDG[5]
109
set_location_assignment PIN_Y22 -to LEDG[6]
110
set_location_assignment PIN_Y21 -to LEDG[7]
111
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[0]
112
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[1]
113
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[2]
114
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[3]
115
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[4]
116
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[5]
117
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[6]
118
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[7]
119
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[8]
120
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[9]
121
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[0]
122
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[1]
123
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[2]
124
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[3]
125
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[4]
126
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[5]
127
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[6]
128
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[7]
129
 
130
###########################################################################
131
# 7-Segment displays
132
###########################################################################
133
set_location_assignment PIN_J2 -to HEX0[0]
134
set_location_assignment PIN_J1 -to HEX0[1]
135
set_location_assignment PIN_H2 -to HEX0[2]
136
set_location_assignment PIN_H1 -to HEX0[3]
137
set_location_assignment PIN_F2 -to HEX0[4]
138
set_location_assignment PIN_F1 -to HEX0[5]
139
set_location_assignment PIN_E2 -to HEX0[6]
140
set_location_assignment PIN_E1 -to HEX1[0]
141
set_location_assignment PIN_H6 -to HEX1[1]
142
set_location_assignment PIN_H5 -to HEX1[2]
143
set_location_assignment PIN_H4 -to HEX1[3]
144
set_location_assignment PIN_G3 -to HEX1[4]
145
set_location_assignment PIN_D2 -to HEX1[5]
146
set_location_assignment PIN_D1 -to HEX1[6]
147
set_location_assignment PIN_G5 -to HEX2[0]
148
set_location_assignment PIN_G6 -to HEX2[1]
149
set_location_assignment PIN_C2 -to HEX2[2]
150
set_location_assignment PIN_C1 -to HEX2[3]
151
set_location_assignment PIN_E3 -to HEX2[4]
152
set_location_assignment PIN_E4 -to HEX2[5]
153
set_location_assignment PIN_D3 -to HEX2[6]
154
set_location_assignment PIN_F4 -to HEX3[0]
155
set_location_assignment PIN_D5 -to HEX3[1]
156
set_location_assignment PIN_D6 -to HEX3[2]
157
set_location_assignment PIN_J4 -to HEX3[3]
158
set_location_assignment PIN_L8 -to HEX3[4]
159
set_location_assignment PIN_F3 -to HEX3[5]
160
set_location_assignment PIN_D4 -to HEX3[6]
161
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[0]
162
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[1]
163
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[2]
164
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[3]
165
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[4]
166
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[5]
167
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[6]
168
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[0]
169
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[1]
170
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[2]
171
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[3]
172
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[4]
173
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[5]
174
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[6]
175
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[0]
176
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[1]
177
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[2]
178
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[3]
179
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[4]
180
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[5]
181
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[6]
182
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[0]
183
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[1]
184
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[2]
185
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[3]
186
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[4]
187
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[5]
188
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[6]
189
 
190
###########################################################################
191
# VGA
192
###########################################################################
193
set_location_assignment PIN_D9 -to VGA_R[0]
194
set_location_assignment PIN_C9 -to VGA_R[1]
195
set_location_assignment PIN_A7 -to VGA_R[2]
196
set_location_assignment PIN_B7 -to VGA_R[3]
197
set_location_assignment PIN_B8 -to VGA_G[0]
198
set_location_assignment PIN_C10 -to VGA_G[1]
199
set_location_assignment PIN_B9 -to VGA_G[2]
200
set_location_assignment PIN_A8 -to VGA_G[3]
201
set_location_assignment PIN_A9 -to VGA_B[0]
202
set_location_assignment PIN_D11 -to VGA_B[1]
203
set_location_assignment PIN_A10 -to VGA_B[2]
204
set_location_assignment PIN_B10 -to VGA_B[3]
205
set_location_assignment PIN_A11 -to VGA_HS
206
set_location_assignment PIN_B11 -to VGA_VS
207
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[0]
208
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[1]
209
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[2]
210
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[3]
211
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[0]
212
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[1]
213
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[2]
214
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[3]
215
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[0]
216
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[1]
217
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[2]
218
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[3]
219
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_HS
220
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_VS
221
 
222
###########################################################################
223
# Audio Codec
224
###########################################################################
225
set_location_assignment PIN_A3 -to I2C_SCLK
226
set_location_assignment PIN_B3 -to I2C_SDAT
227
set_location_assignment PIN_A6 -to AUD_ADCLRCK
228
set_location_assignment PIN_B6 -to AUD_ADCDAT
229
set_location_assignment PIN_A5 -to AUD_DACLRCK
230
set_location_assignment PIN_B5 -to AUD_DACDAT
231
set_location_assignment PIN_B4 -to AUD_XCK
232
set_location_assignment PIN_A4 -to AUD_BCLK
233
set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SCLK
234
set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SDAT
235
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCLRCK
236
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCDAT
237
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACLRCK
238
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACDAT
239
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_XCK
240
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_BCLK
241
 
242
###########################################################################
243
# Serial (UART)
244
###########################################################################
245
set_location_assignment PIN_F14 -to UART_RXD
246
set_location_assignment PIN_G12 -to UART_TXD
247
set_instance_assignment -name IO_STANDARD LVTTL -to UART_RXD
248
set_instance_assignment -name IO_STANDARD LVTTL -to UART_TXD
249
 
250
###########################################################################
251
# PS/2
252
###########################################################################
253
set_location_assignment PIN_H15 -to PS2_CLK
254
set_location_assignment PIN_J14 -to PS2_DAT
255
set_instance_assignment -name IO_STANDARD LVTTL -to PS2_CLK
256
set_instance_assignment -name IO_STANDARD LVTTL -to PS2_DAT
257
 
258
###########################################################################
259
# SD Card
260
###########################################################################
261
set_location_assignment PIN_E8 -to TDI
262
set_location_assignment PIN_D8 -to TCS
263
set_location_assignment PIN_C7 -to TCK
264
set_location_assignment PIN_D7 -to TDO
265
set_instance_assignment -name IO_STANDARD LVTTL -to TDI
266
set_instance_assignment -name IO_STANDARD LVTTL -to TCS
267
set_instance_assignment -name IO_STANDARD LVTTL -to TCK
268
set_instance_assignment -name IO_STANDARD LVTTL -to TDO
269
 
270
###########################################################################
271
# SDRAM
272
###########################################################################
273
set_location_assignment PIN_W4 -to DRAM_ADDR[0]
274
set_location_assignment PIN_W5 -to DRAM_ADDR[1]
275
set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
276
set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
277
set_location_assignment PIN_R6 -to DRAM_ADDR[4]
278
set_location_assignment PIN_R5 -to DRAM_ADDR[5]
279
set_location_assignment PIN_P6 -to DRAM_ADDR[6]
280
set_location_assignment PIN_P5 -to DRAM_ADDR[7]
281
set_location_assignment PIN_P3 -to DRAM_ADDR[8]
282
set_location_assignment PIN_N4 -to DRAM_ADDR[9]
283
set_location_assignment PIN_W3 -to DRAM_ADDR[10]
284
set_location_assignment PIN_N6 -to DRAM_ADDR[11]
285
set_location_assignment PIN_U1 -to DRAM_DQ[0]
286
set_location_assignment PIN_U2 -to DRAM_DQ[1]
287
set_location_assignment PIN_V1 -to DRAM_DQ[2]
288
set_location_assignment PIN_V2 -to DRAM_DQ[3]
289
set_location_assignment PIN_W1 -to DRAM_DQ[4]
290
set_location_assignment PIN_W2 -to DRAM_DQ[5]
291
set_location_assignment PIN_Y1 -to DRAM_DQ[6]
292
set_location_assignment PIN_Y2 -to DRAM_DQ[7]
293
set_location_assignment PIN_N1 -to DRAM_DQ[8]
294
set_location_assignment PIN_N2 -to DRAM_DQ[9]
295
set_location_assignment PIN_P1 -to DRAM_DQ[10]
296
set_location_assignment PIN_P2 -to DRAM_DQ[11]
297
set_location_assignment PIN_R1 -to DRAM_DQ[12]
298
set_location_assignment PIN_R2 -to DRAM_DQ[13]
299
set_location_assignment PIN_T1 -to DRAM_DQ[14]
300
set_location_assignment PIN_T2 -to DRAM_DQ[15]
301
set_location_assignment PIN_U3 -to DRAM_BA_0
302
set_location_assignment PIN_V4 -to DRAM_BA_1
303
set_location_assignment PIN_R7 -to DRAM_LDQM
304
set_location_assignment PIN_M5 -to DRAM_UDQM
305
set_location_assignment PIN_T5 -to DRAM_RAS_N
306
set_location_assignment PIN_T3 -to DRAM_CAS_N
307
set_location_assignment PIN_N3 -to DRAM_CKE
308
set_location_assignment PIN_U4 -to DRAM_CLK
309
set_location_assignment PIN_R8 -to DRAM_WE_N
310
set_location_assignment PIN_T6 -to DRAM_CS_N
311
 
312
###########################################################################
313
# SRAM
314
###########################################################################
315
set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
316
set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
317
set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
318
set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
319
set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
320
set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
321
set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
322
set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
323
set_location_assignment PIN_V11 -to SRAM_ADDR[8]
324
set_location_assignment PIN_W11 -to SRAM_ADDR[9]
325
set_location_assignment PIN_R11 -to SRAM_ADDR[10]
326
set_location_assignment PIN_T11 -to SRAM_ADDR[11]
327
set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
328
set_location_assignment PIN_U10 -to SRAM_ADDR[13]
329
set_location_assignment PIN_R10 -to SRAM_ADDR[14]
330
set_location_assignment PIN_T7 -to SRAM_ADDR[15]
331
set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
332
set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
333
set_location_assignment PIN_AA6 -to SRAM_DQ[0]
334
set_location_assignment PIN_AB6 -to SRAM_DQ[1]
335
set_location_assignment PIN_AA7 -to SRAM_DQ[2]
336
set_location_assignment PIN_AB7 -to SRAM_DQ[3]
337
set_location_assignment PIN_AA8 -to SRAM_DQ[4]
338
set_location_assignment PIN_AB8 -to SRAM_DQ[5]
339
set_location_assignment PIN_AA9 -to SRAM_DQ[6]
340
set_location_assignment PIN_AB9 -to SRAM_DQ[7]
341
set_location_assignment PIN_Y9 -to SRAM_DQ[8]
342
set_location_assignment PIN_W9 -to SRAM_DQ[9]
343
set_location_assignment PIN_V9 -to SRAM_DQ[10]
344
set_location_assignment PIN_U9 -to SRAM_DQ[11]
345
set_location_assignment PIN_R9 -to SRAM_DQ[12]
346
set_location_assignment PIN_W8 -to SRAM_DQ[13]
347
set_location_assignment PIN_V8 -to SRAM_DQ[14]
348
set_location_assignment PIN_U8 -to SRAM_DQ[15]
349
set_location_assignment PIN_AB5 -to SRAM_CE_N
350
set_location_assignment PIN_T8 -to SRAM_OE_N
351
set_location_assignment PIN_AA10 -to SRAM_WE_N
352
set_location_assignment PIN_W7 -to SRAM_UB_N
353
set_location_assignment PIN_Y7 -to SRAM_LB_N
354
 
355
###########################################################################
356
# FLASH
357
###########################################################################
358
set_location_assignment PIN_AB20 -to FL_ADDR[0]
359
set_location_assignment PIN_AA14 -to FL_ADDR[1]
360
set_location_assignment PIN_Y16 -to FL_ADDR[2]
361
set_location_assignment PIN_R15 -to FL_ADDR[3]
362
set_location_assignment PIN_T15 -to FL_ADDR[4]
363
set_location_assignment PIN_U15 -to FL_ADDR[5]
364
set_location_assignment PIN_V15 -to FL_ADDR[6]
365
set_location_assignment PIN_W15 -to FL_ADDR[7]
366
set_location_assignment PIN_R14 -to FL_ADDR[8]
367
set_location_assignment PIN_Y13 -to FL_ADDR[9]
368
set_location_assignment PIN_R12 -to FL_ADDR[10]
369
set_location_assignment PIN_T12 -to FL_ADDR[11]
370
set_location_assignment PIN_AB14 -to FL_ADDR[12]
371
set_location_assignment PIN_AA13 -to FL_ADDR[13]
372
set_location_assignment PIN_AB13 -to FL_ADDR[14]
373
set_location_assignment PIN_AA12 -to FL_ADDR[15]
374
set_location_assignment PIN_AB12 -to FL_ADDR[16]
375
set_location_assignment PIN_AA20 -to FL_ADDR[17]
376
set_location_assignment PIN_U14 -to FL_ADDR[18]
377
set_location_assignment PIN_V14 -to FL_ADDR[19]
378
set_location_assignment PIN_U13 -to FL_ADDR[20]
379
set_location_assignment PIN_R13 -to FL_ADDR[21]
380
set_location_assignment PIN_AB16 -to FL_DQ[0]
381
set_location_assignment PIN_AA16 -to FL_DQ[1]
382
set_location_assignment PIN_AB17 -to FL_DQ[2]
383
set_location_assignment PIN_AA17 -to FL_DQ[3]
384
set_location_assignment PIN_AB18 -to FL_DQ[4]
385
set_location_assignment PIN_AA18 -to FL_DQ[5]
386
set_location_assignment PIN_AB19 -to FL_DQ[6]
387
set_location_assignment PIN_AA19 -to FL_DQ[7]
388
set_location_assignment PIN_AB15 -to FL_CE_N
389
set_location_assignment PIN_AA15 -to FL_OE_N
390
set_location_assignment PIN_Y14 -to FL_WE_N
391
set_location_assignment PIN_W14 -to FL_RST_N
392
 
393
###########################################################################
394
# GPIO-0 Expansion Header 1
395
###########################################################################
396
set_location_assignment PIN_A13 -to D[0]
397
set_location_assignment PIN_B13 -to D[1]
398
set_location_assignment PIN_A14 -to D[2]
399
set_location_assignment PIN_B14 -to D[3]
400
set_location_assignment PIN_A15 -to D[4]
401
set_location_assignment PIN_B15 -to D[5]
402
set_location_assignment PIN_A16 -to D[6]
403
set_location_assignment PIN_B16 -to D[7]
404
set_location_assignment PIN_A17 -to A[0]
405
set_location_assignment PIN_B17 -to A[1]
406
set_location_assignment PIN_A18 -to A[2]
407
set_location_assignment PIN_B18 -to A[3]
408
set_location_assignment PIN_A19 -to A[4]
409
set_location_assignment PIN_B19 -to A[5]
410
set_location_assignment PIN_A20 -to A[6]
411
set_location_assignment PIN_B20 -to A[7]
412
set_location_assignment PIN_C21 -to A[8]
413
set_location_assignment PIN_C22 -to A[9]
414
set_location_assignment PIN_D21 -to A[10]
415
set_location_assignment PIN_D22 -to A[11]
416
set_location_assignment PIN_E21 -to A[12]
417
set_location_assignment PIN_E22 -to A[13]
418
set_location_assignment PIN_F21 -to A[14]
419
set_location_assignment PIN_F22 -to A[15]
420
set_location_assignment PIN_G21 -to GPIO_0[24]
421
set_location_assignment PIN_G22 -to GPIO_0[25]
422
set_location_assignment PIN_J21 -to GPIO_0[26]
423
set_location_assignment PIN_J22 -to GPIO_0[27]
424
set_location_assignment PIN_K21 -to GPIO_0[28]
425
set_location_assignment PIN_K22 -to GPIO_0[29]
426
set_location_assignment PIN_J19 -to GPIO_0[30]
427
set_location_assignment PIN_J20 -to GPIO_0[31]
428
set_location_assignment PIN_J18 -to GPIO_0[32]
429
set_location_assignment PIN_K20 -to GPIO_0[33]
430
set_location_assignment PIN_L19 -to GPIO_0[34]
431
set_location_assignment PIN_L18 -to GPIO_0[35]
432
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[0]
433
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[1]
434
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[2]
435
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[3]
436
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[4]
437
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[5]
438
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[6]
439
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[7]
440
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[8]
441
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[9]
442
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[10]
443
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[11]
444
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[12]
445
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[13]
446
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[14]
447
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[15]
448
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[16]
449
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[17]
450
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[18]
451
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[19]
452
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[20]
453
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[21]
454
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[22]
455
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[23]
456
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[24]
457
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[25]
458
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[26]
459
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[27]
460
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[28]
461
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[29]
462
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[30]
463
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[31]
464
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[32]
465
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[33]
466
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[34]
467
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[35]
468
 
469
###########################################################################
470
# GPIO-1 Expansion Header 2
471
###########################################################################
472
set_location_assignment PIN_H12 -to GPIO_1[0]
473
set_location_assignment PIN_H13 -to GPIO_1[1]
474
set_location_assignment PIN_H14 -to GPIO_1[2]
475
set_location_assignment PIN_G15 -to GPIO_1[3]
476
set_location_assignment PIN_E14 -to GPIO_1[4]
477
set_location_assignment PIN_E15 -to GPIO_1[5]
478
set_location_assignment PIN_F15 -to GPIO_1[6]
479
set_location_assignment PIN_G16 -to GPIO_1[7]
480
set_location_assignment PIN_F12 -to GPIO_1[8]
481
set_location_assignment PIN_F13 -to GPIO_1[9]
482
set_location_assignment PIN_C14 -to GPIO_1[10]
483
set_location_assignment PIN_D14 -to GPIO_1[11]
484
set_location_assignment PIN_D15 -to GPIO_1[12]
485
set_location_assignment PIN_D16 -to GPIO_1[13]
486
set_location_assignment PIN_C17 -to GPIO_1[14]
487
set_location_assignment PIN_C18 -to GPIO_1[15]
488
set_location_assignment PIN_C19 -to GPIO_1[16]
489
set_location_assignment PIN_C20 -to GPIO_1[17]
490
set_location_assignment PIN_D19 -to GPIO_1[18]
491
set_location_assignment PIN_D20 -to GPIO_1[19]
492
set_location_assignment PIN_E20 -to GPIO_1[20]
493
set_location_assignment PIN_F20 -to GPIO_1[21]
494
set_location_assignment PIN_E19 -to GPIO_1[22]
495
set_location_assignment PIN_E18 -to GPIO_1[23]
496
set_location_assignment PIN_G20 -to GPIO_1[24]
497
set_location_assignment PIN_G18 -to GPIO_1[25]
498
set_location_assignment PIN_G17 -to GPIO_1[26]
499
set_location_assignment PIN_H17 -to GPIO_1[27]
500
set_location_assignment PIN_J15 -to GPIO_1[28]
501
set_location_assignment PIN_H18 -to GPIO_1[29]
502
set_location_assignment PIN_N22 -to GPIO_1[30]
503
set_location_assignment PIN_N21 -to GPIO_1[31]
504
set_location_assignment PIN_P15 -to GPIO_1[32]
505
set_location_assignment PIN_N15 -to GPIO_1[33]
506
set_location_assignment PIN_P17 -to GPIO_1[34]
507
set_location_assignment PIN_P18 -to GPIO_1[35]
508
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[0]
509
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[1]
510
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[2]
511
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[3]
512
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[4]
513
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[5]
514
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[6]
515
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[7]
516
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[8]
517
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[9]
518
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[10]
519
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[11]
520
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[12]
521
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[13]
522
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[14]
523
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[15]
524
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[16]
525
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[17]
526
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[18]
527
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[19]
528
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[20]
529
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[21]
530
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[22]
531
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[23]
532
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[24]
533
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[25]
534
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[26]
535
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[27]
536
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[28]
537
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[29]
538
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[30]
539
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[31]
540
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[32]
541
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[33]
542
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[34]
543
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[35]
544
 
545
#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
546
# These are some common settings that all DE1 boards might want to have
547
# without having to be manually set each time
548
#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
549
 
550
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
551
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
552
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
553
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
554
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
555
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
556
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
557
set_global_assignment -name SMART_RECOMPILE ON
558
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON
559
set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4
560
set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "NORMAL COMPILATION"
561
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "NORMAL COMPILATION"
562
set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
563
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
564
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
565
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
566
set_global_assignment -name TIMEQUEST_DO_CCPP_REMOVAL ON
567
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON
568
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
569
 
570
#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
571
# Quartus managed
572
#++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
573
 
574
set_global_assignment -name FAMILY "Cyclone II"
575
set_global_assignment -name DEVICE EP2C20F484C7
576
set_global_assignment -name TOP_LEVEL_ENTITY toplevel
577
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
578
set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:31:29  OCTOBER 13, 2014"
579
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
580
 
581
set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS"
582
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
583
set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE OPTIMISTIC
584
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
585
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
586
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
587
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
588
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON
589
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA ON
590
set_global_assignment -name ALLOW_POWER_UP_DONT_CARE OFF
591
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED
592
 
593
set_global_assignment -name SEARCH_PATH "../alu"
594
set_global_assignment -name SEARCH_PATH "../bus"
595
set_global_assignment -name SEARCH_PATH "../control"
596
set_global_assignment -name SEARCH_PATH "../registers"
597
 
598
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
599
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
600
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
601
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
602
set_global_assignment -name BDF_FILE toplevel.bdf
603
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.