OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_de1/] [basic_de1.sdc] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
## Generated SDC file "basic_de1.sdc"
2
 
3
## Copyright (C) 1991-2013 Altera Corporation
4
## Your use of Altera Corporation's design tools, logic functions
5
## and other software and tools, and its AMPP partner logic
6
## functions, and any output files from any of the foregoing
7
## (including device programming or simulation files), and any
8
## associated documentation or information are expressly subject
9
## to the terms and conditions of the Altera Program License
10
## Subscription Agreement, Altera MegaCore Function License
11
## Agreement, or other applicable license agreement, including,
12
## without limitation, that your use is for the sole purpose of
13
## programming logic devices manufactured by Altera and sold by
14
## Altera or its authorized distributors.  Please refer to the
15
## applicable agreement for further details.
16
 
17
## VENDOR  "Altera"
18
## PROGRAM "Quartus II"
19
## VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition"
20
 
21
##
22
## DEVICE  "EP2C20F484C7"
23
##
24
 
25
#**************************************************************
26
# Time Information
27
#**************************************************************
28
 
29
set_time_format -unit ns -decimal_places 3
30
 
31
#**************************************************************
32
# Create Clock
33
#**************************************************************
34
 
35
create_clock -name {CLOCK_50} -period 20.000 -waveform { 0.000 10.000 } [get_ports {CLOCK_50}]
36
create_clock -name {KEY2} -period 20.000 -waveform { 0.000 10.000 } [get_ports {KEY2}]
37
 
38
#**************************************************************
39
# Create Generated Clock
40
#**************************************************************
41
 
42
create_generated_clock -name {pll_|altpll_component|pll|clk[0]} -source [get_pins {pll_|altpll_component|pll|inclk[0]}] -duty_cycle 50.000 -multiply_by 1 -master_clock {CLOCK_50} [get_pins {pll_|altpll_component|pll|clk[0]}]
43
create_generated_clock -name {clk_cpu} -source [get_nets {pll_|altpll_component|_clk0}] -divide_by 4 -master_clock {pll_|altpll_component|pll|clk[0]} [get_nets {clk_cpu}]
44
 
45
#**************************************************************
46
# Set Clock Latency
47
#**************************************************************
48
 
49
 
50
#**************************************************************
51
# Set Clock Uncertainty
52
#**************************************************************
53
 
54
derive_clock_uncertainty
55
 
56
#**************************************************************
57
# Set Input Delay
58
#**************************************************************
59
 
60
set_input_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  2.000 [get_ports {CLOCK_50}]
61
set_input_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  1.000 [get_ports {CLOCK_50}]
62
set_input_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  2.000 [get_ports {KEY0}]
63
set_input_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  1.000 [get_ports {KEY0}]
64
set_input_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  2.000 [get_ports {KEY1}]
65
set_input_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  1.000 [get_ports {KEY1}]
66
set_input_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  2.000 [get_ports {KEY2}]
67
set_input_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  1.000 [get_ports {KEY2}]
68
 
69
#**************************************************************
70
# Set Output Delay
71
#**************************************************************
72
 
73
set_output_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  9.000 [get_ports {GPIO_0[0]}]
74
set_output_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  -5.000 [get_ports {GPIO_0[0]}]
75
set_output_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  6.000 [get_ports {GPIO_0[1]}]
76
set_output_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  -5.000 [get_ports {GPIO_0[1]}]
77
set_output_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  6.000 [get_ports {GPIO_0[2]}]
78
set_output_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  -5.000 [get_ports {GPIO_0[2]}]
79
set_output_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  6.000 [get_ports {GPIO_0[3]}]
80
set_output_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  -5.000 [get_ports {GPIO_0[3]}]
81
set_output_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  6.000 [get_ports {GPIO_0[4]}]
82
set_output_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  -5.000 [get_ports {GPIO_0[4]}]
83
set_output_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  6.000 [get_ports {GPIO_0[5]}]
84
set_output_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  -5.000 [get_ports {GPIO_0[5]}]
85
set_output_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  6.000 [get_ports {UART_TXD}]
86
set_output_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  -5.000 [get_ports {UART_TXD}]
87
set_output_delay -add_delay -max -clock [get_clocks {CLOCK_50}]  6.000 [get_ports {~LVDS91p/nCEO~}]
88
set_output_delay -add_delay -min -clock [get_clocks {CLOCK_50}]  -5.000 [get_ports {~LVDS91p/nCEO~}]
89
 
90
#**************************************************************
91
# Set Clock Groups
92
#**************************************************************
93
 
94
set_clock_groups -asynchronous -group [get_clocks {CLOCK_50}] -group [get_clocks {KEY2}]
95
 
96
#**************************************************************
97
# Set False Path
98
#**************************************************************
99
 
100
 
101
#**************************************************************
102
# Set Multicycle Path
103
#**************************************************************
104
 
105
 
106
#**************************************************************
107
# Set Maximum Delay
108
#**************************************************************
109
 
110
 
111
#**************************************************************
112
# Set Minimum Delay
113
#**************************************************************
114
 
115
 
116
#**************************************************************
117
# Set Input Transition
118
#**************************************************************
119
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.