OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_de1/] [basic_de1_fpga.sv] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
//============================================================================
2
// Host design containing A-Z80 and a few peripherials
3
//
4
// This module defines a host board to be run on an FPGA.
5
//
6
//  Copyright (C) 2014-2016  Goran Devic
7
//
8
//  This program is free software; you can redistribute it and/or modify it
9
//  under the terms of the GNU General Public License as published by the Free
10
//  Software Foundation; either version 2 of the License, or (at your option)
11
//  any later version.
12
//
13
//  This program is distributed in the hope that it will be useful, but WITHOUT
14
//  ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
15
//  FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for
16
//  more details.
17
//
18
//  You should have received a copy of the GNU General Public License along
19
//  with this program; if not, write to the Free Software Foundation, Inc.,
20
//  51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
21
//============================================================================
22
module host
23
(
24
    input wire CLOCK_50,
25
    input wire KEY0,            // KEY0 is reset
26
    input wire KEY1,            // KEY1 generates a maskable interrupt (INT)
27
    input wire KEY2,            // KEY2 generates a non-maskable interrupt (NMI)
28
    output wire UART_TXD,
29
 
30
    output wire [5:0] GPIO_0    // Test points
31
);
32
`default_nettype none
33
 
34
// Export selected pins to the extension connector
35
assign GPIO_0[0] = reset;
36
assign GPIO_0[1] = locked;
37
assign GPIO_0[2] = nM1;
38
assign GPIO_0[3] = nMREQ;
39
assign GPIO_0[4] = nRD;
40
assign GPIO_0[5] = nWR;
41
 
42
// Basic wires and the reset logic
43
wire uart_tx;
44
wire uart_busy;
45
wire UartWE;
46
wire reset;
47
wire locked;
48
 
49
assign reset = locked & KEY0;
50
assign UART_TXD = uart_tx;
51
 
52
// ----------------- CPU PINS -----------------
53
wire nM1;
54
wire nMREQ;
55
wire nIORQ;
56
wire nRD;
57
wire nWR;
58
wire nRFSH;
59
wire nHALT;
60
wire nBUSACK;
61
 
62
wire nWAIT = 1;
63
wire nBUSRQ = 1;
64
wire nINT = KEY1;
65
wire nNMI = KEY2;
66
 
67
wire [15:0] A;
68
wire [7:0] D;
69
 
70
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
71
// Instantiate PLL
72
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
73
wire pll_clk;
74
pll pll_( .locked(locked), .inclk0(CLOCK_50), .c0(pll_clk) );
75
 
76
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
77
// Generate the CPU clock by dividing input clock by a factor of a power of 2
78
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
79
reg clk_cpu = 0;                        // Final CPU clock
80
// Note: In order to test at 3.5 MHz, the PLL needs to be set to generate 14 MHz
81
// and then this divider-by-4 brings the effective clock down to 3.5 MHz
82
reg [0:0] counter = 0;                  // Clock divider counter
83
 
84
always @(posedge pll_clk)
85
begin
86
    if (counter=='0)
87
        clk_cpu <= ~clk_cpu;
88
    counter <= counter - 1'b1;
89
end
90
 
91
// ----------------- INTERNAL WIRES -----------------
92
wire [7:0] RamData; // Data writer from the RAM module
93
wire RamWE;
94
assign RamWE = nIORQ==1 && nRD==1 && nWR==0;
95
assign UartWE = nIORQ==0 && nRD==1 && nWR==0;
96
 
97
// Memory map:
98
//   0000 - 3FFF  16K RAM
99
always_comb
100
begin
101
    case ({nIORQ,nRD,nWR})
102
        3'b101: begin   // Memory read
103
                casez (A[15:14])
104
                    2'b00:  D[7:0] = RamData;
105
                default:
106
                    D[7:0] = 8'h76; // HALT
107
                endcase
108
                end
109
        3'b001: D[7:0] = {7'h0,uart_busy};
110
        // IO read *** Interrupts test ***
111
        // This value will be pushed on the data bus on an IORQ access which
112
        // means that:
113
        // In IM0: this is the opcode of an instruction to execute, set it to 0xFF
114
        // In IM2: this is a vector, set it to 0x80 (to correspond to a test program Hello World)
115
        3'b011: D[7:0] = 8'h80;
116
    default:
117
        D[7:0] = {8{1'bz}};
118
    endcase
119
end
120
 
121
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
122
// Instantiate A-Z80 CPU module
123
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
124
z80_top_direct_n z80_( .*, .nRESET(reset), .CLK(clk_cpu) );
125
 
126
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
127
// Instantiate 16Kb of RAM memory
128
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
129
ram ram_( .address(A[13:0]), .clock(pll_clk), .data(D[7:0]), .wren(RamWE), .q(RamData[7:0]) );
130
 
131
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
132
// Instantiate UART module
133
//~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
134
uart #( .BAUD(115200), .IN_CLOCK(50000000) ) uart_(
135
   // Outputs
136
   .busy(uart_busy),
137
   .uart_tx(uart_tx),
138
   // Inputs
139
   .wr(UartWE),
140
   .data(D[7:0]),
141
   .clk(CLOCK_50),
142
   .reset(!reset)
143
);
144
 
145
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.