OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ipcore_dir/] [clock/] [implement/] [implement.bat] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
REM file: implement.bat
2
REM
3
REM (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
4
REM
5
REM This file contains confidential and proprietary information
6
REM of Xilinx, Inc. and is protected under U.S. and
7
REM international copyright and other intellectual property
8
REM laws.
9
REM
10
REM DISCLAIMER
11
REM This disclaimer is not a license and does not grant any
12
REM rights to the materials distributed herewith. Except as
13
REM otherwise provided in a valid license issued to you by
14
REM Xilinx, and to the maximum extent permitted by applicable
15
REM law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
REM WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
REM AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
REM BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
REM INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
REM (2) Xilinx shall not be liable (whether in contract or tort,
21
REM including negligence, or under any other theory of
22
REM liability) for any loss or damage of any kind or nature
23
REM related to, arising under or in connection with these
24
REM materials, including for any direct, or any indirect,
25
REM special, incidental, or consequential loss or damage
26
REM (including loss of data, profits, goodwill, or any type of
27
REM loss or damage suffered as a result of any action brought
28
REM by a third party) even if such damage or loss was
29
REM reasonably foreseeable or Xilinx had been advised of the
30
REM possibility of the same.
31
REM
32
REM CRITICAL APPLICATIONS
33
REM Xilinx products are not designed or intended to be fail-
34
REM safe, or for use in any application requiring fail-safe
35
REM performance, such as life-support or safety devices or
36
REM systems, Class III medical devices, nuclear facilities,
37
REM applications related to the deployment of airbags, or any
38
REM other applications that could lead to death, personal
39
REM injury, or severe property or environmental damage
40
REM (individually and collectively, "Critical
41
REM Applications"). Customer assumes the sole risk and
42
REM liability of any use of Xilinx products in Critical
43
REM Applications, subject only to applicable laws and
44
REM regulations governing limitations on product liability.
45
REM
46
REM THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
REM PART OF THIS FILE AT ALL TIMES.
48
REM
49
 
50
REM -----------------------------------------------------------------------------
51
REM  Script to synthesize and implement the RTL provided for the clocking wizard
52
REM -----------------------------------------------------------------------------
53
 
54
REM Clean up the results directory
55
rmdir /S /Q results
56
mkdir results
57
 
58
REM Copy unisim_comp.v file to results directory
59
copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\
60
 
61
REM Synthesize the Verilog Wrapper Files
62
echo 'Synthesizing Clocking Wizard design with XST'
63
xst -ifn xst.scr
64
move clock_exdes.ngc results\
65
 
66
REM  Copy the constraints files generated by Coregen
67
echo 'Copying files from constraints directory to results directory'
68
copy ..\example_design\clock_exdes.ucf results\
69
 
70
cd results
71
 
72
echo 'Running ngdbuild'
73
ngdbuild -uc clock_exdes.ucf clock_exdes
74
 
75
echo 'Running map'
76
map -timing -pr b clock_exdes -o mapped.ncd
77
 
78
echo 'Running par'
79
par -w mapped.ncd routed mapped.pcf
80
 
81
echo 'Running trce'
82
trce -e 10 routed -o routed mapped.pcf
83
 
84
echo 'Running design through bitgen'
85
bitgen -w routed
86
 
87
echo 'Running netgen to create gate level model for the clocking wizard example design'
88
netgen -ofmt verilog -sim -sdf_anno false -tm clock_exdes -w routed.ncd routed.v
89
cd ..
90
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.