OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ipcore_dir/] [clock/] [implement/] [implement.sh] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
#!/bin/sh
2
# file: implement.sh
3
#
4
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
5
#
6
# This file contains confidential and proprietary information
7
# of Xilinx, Inc. and is protected under U.S. and
8
# international copyright and other intellectual property
9
# laws.
10
#
11
# DISCLAIMER
12
# This disclaimer is not a license and does not grant any
13
# rights to the materials distributed herewith. Except as
14
# otherwise provided in a valid license issued to you by
15
# Xilinx, and to the maximum extent permitted by applicable
16
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
# (2) Xilinx shall not be liable (whether in contract or tort,
22
# including negligence, or under any other theory of
23
# liability) for any loss or damage of any kind or nature
24
# related to, arising under or in connection with these
25
# materials, including for any direct, or any indirect,
26
# special, incidental, or consequential loss or damage
27
# (including loss of data, profits, goodwill, or any type of
28
# loss or damage suffered as a result of any action brought
29
# by a third party) even if such damage or loss was
30
# reasonably foreseeable or Xilinx had been advised of the
31
# possibility of the same.
32
#
33
# CRITICAL APPLICATIONS
34
# Xilinx products are not designed or intended to be fail-
35
# safe, or for use in any application requiring fail-safe
36
# performance, such as life-support or safety devices or
37
# systems, Class III medical devices, nuclear facilities,
38
# applications related to the deployment of airbags, or any
39
# other applications that could lead to death, personal
40
# injury, or severe property or environmental damage
41
# (individually and collectively, "Critical
42
# Applications"). Customer assumes the sole risk and
43
# liability of any use of Xilinx products in Critical
44
# Applications, subject only to applicable laws and
45
# regulations governing limitations on product liability.
46
#
47
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
# PART OF THIS FILE AT ALL TIMES.
49
#
50
 
51
#-----------------------------------------------------------------------------
52
# Script to synthesize and implement the RTL provided for the clocking wizard
53
#-----------------------------------------------------------------------------
54
 
55
# Clean up the results directory
56
rm -rf results
57
mkdir results
58
 
59
# Copy unisim_comp.v file to results directory
60
cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/
61
 
62
# Synthesize the Verilog Wrapper Files
63
echo 'Synthesizing Clocking Wizard design with XST'
64
xst -ifn xst.scr
65
mv clock_exdes.ngc results/
66
 
67
#  Copy the constraints files generated by Coregen
68
echo 'Copying files from constraints directory to results directory'
69
cp ../example_design/clock_exdes.ucf results/
70
 
71
cd results
72
 
73
echo 'Running ngdbuild'
74
ngdbuild -uc clock_exdes.ucf clock_exdes
75
 
76
echo 'Running map'
77
map -timing clock_exdes -o mapped.ncd
78
 
79
echo 'Running par'
80
par -w mapped.ncd routed mapped.pcf
81
 
82
echo 'Running trce'
83
trce -e 10 routed -o routed mapped.pcf
84
 
85
echo 'Running design through bitgen'
86
bitgen -w routed
87
 
88
echo 'Running netgen to create gate level model for the clocking wizard example design'
89
netgen -ofmt verilog -sim -sdf_anno false -tm clock_exdes -w routed.ncd routed.v
90
 
91
cd ..

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.