OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ipcore_dir/] [clock/] [implement/] [planAhead_ise.tcl] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
# file: planAhead_ise.tcl
2
# 
3
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
4
# 
5
# This file contains confidential and proprietary information
6
# of Xilinx, Inc. and is protected under U.S. and
7
# international copyright and other intellectual property
8
# laws.
9
# 
10
# DISCLAIMER
11
# This disclaimer is not a license and does not grant any
12
# rights to the materials distributed herewith. Except as
13
# otherwise provided in a valid license issued to you by
14
# Xilinx, and to the maximum extent permitted by applicable
15
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
# (2) Xilinx shall not be liable (whether in contract or tort,
21
# including negligence, or under any other theory of
22
# liability) for any loss or damage of any kind or nature
23
# related to, arising under or in connection with these
24
# materials, including for any direct, or any indirect,
25
# special, incidental, or consequential loss or damage
26
# (including loss of data, profits, goodwill, or any type of
27
# loss or damage suffered as a result of any action brought
28
# by a third party) even if such damage or loss was
29
# reasonably foreseeable or Xilinx had been advised of the
30
# possibility of the same.
31
# 
32
# CRITICAL APPLICATIONS
33
# Xilinx products are not designed or intended to be fail-
34
# safe, or for use in any application requiring fail-safe
35
# performance, such as life-support or safety devices or
36
# systems, Class III medical devices, nuclear facilities,
37
# applications related to the deployment of airbags, or any
38
# other applications that could lead to death, personal
39
# injury, or severe property or environmental damage
40
# (individually and collectively, "Critical
41
# Applications"). Customer assumes the sole risk and
42
# liability of any use of Xilinx products in Critical
43
# Applications, subject only to applicable laws and
44
# regulations governing limitations on product liability.
45
# 
46
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
# PART OF THIS FILE AT ALL TIMES.
48
# 
49
 
50
set projDir [file dirname [info script]]
51
set projName clock
52
set topName clock_exdes
53
set device xc6slx16csg324-2
54
 
55
create_project $projName $projDir/results/$projName -part $device
56
 
57
set_property design_mode RTL [get_filesets sources_1]
58
 
59
## Source files
60
#set verilogSources [glob $srcDir/*.v]
61
import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/clock_exdes.v
62
import_files -fileset [get_filesets sources_1] -force -norecurse ../../../clock.v
63
 
64
 
65
#UCF file
66
import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/clock_exdes.ucf
67
 
68
set_property top $topName [get_property srcset [current_run]]
69
 
70
launch_runs -runs synth_1
71
wait_on_run synth_1
72
 
73
set_property add_step Bitgen [get_runs impl_1]
74
launch_runs -runs impl_1
75
wait_on_run impl_1
76
 
77
 
78
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.