OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ipcore_dir/] [clock/] [implement/] [xst.scr] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
run
2
-ifmt MIXED
3
-top clock_exdes
4
-p xc6slx16-csg324-2
5
-ifn xst.prj
6
-ofn clock_exdes
7
-keep_hierarchy soft
8
-equivalent_register_removal no
9
-max_fanout 65535

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.