OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ipcore_dir/] [clock/] [simulation/] [timing/] [wave.do] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
# file: wave.do
2
#
3
# (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
4
#
5
# This file contains confidential and proprietary information
6
# of Xilinx, Inc. and is protected under U.S. and
7
# international copyright and other intellectual property
8
# laws.
9
#
10
# DISCLAIMER
11
# This disclaimer is not a license and does not grant any
12
# rights to the materials distributed herewith. Except as
13
# otherwise provided in a valid license issued to you by
14
# Xilinx, and to the maximum extent permitted by applicable
15
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
16
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
17
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
18
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
19
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
20
# (2) Xilinx shall not be liable (whether in contract or tort,
21
# including negligence, or under any other theory of
22
# liability) for any loss or damage of any kind or nature
23
# related to, arising under or in connection with these
24
# materials, including for any direct, or any indirect,
25
# special, incidental, or consequential loss or damage
26
# (including loss of data, profits, goodwill, or any type of
27
# loss or damage suffered as a result of any action brought
28
# by a third party) even if such damage or loss was
29
# reasonably foreseeable or Xilinx had been advised of the
30
# possibility of the same.
31
#
32
# CRITICAL APPLICATIONS
33
# Xilinx products are not designed or intended to be fail-
34
# safe, or for use in any application requiring fail-safe
35
# performance, such as life-support or safety devices or
36
# systems, Class III medical devices, nuclear facilities,
37
# applications related to the deployment of airbags, or any
38
# other applications that could lead to death, personal
39
# injury, or severe property or environmental damage
40
# (individually and collectively, "Critical
41
# Applications"). Customer assumes the sole risk and
42
# liability of any use of Xilinx products in Critical
43
# Applications, subject only to applicable laws and
44
# regulations governing limitations on product liability.
45
#
46
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
47
# PART OF THIS FILE AT ALL TIMES.
48
#
49
 
50
onerror {resume}
51
quietly WaveActivateNextPane {} 0
52
add wave -noupdate /clock_tb/CLK_IN1
53
add wave -noupdate /clock_tb/COUNT
54
add wave -noupdate /clock_tb/LOCKED
55
TreeUpdate [SetDefaultTree]
56
WaveRestoreCursors {{Cursor 1} {3223025 ps} 0}
57
configure wave -namecolwidth 238
58
configure wave -valuecolwidth 107
59
configure wave -justifyvalue left
60
configure wave -signalnamewidth 0
61
configure wave -snapdistance 10
62
configure wave -datasetprefix 0
63
configure wave -rowmargin 4
64
configure wave -childrowmargin 2
65
configure wave -gridoffset 0
66
configure wave -gridperiod 1
67
configure wave -griddelta 40
68
configure wave -timeline 0
69
configure wave -timelineunits ps
70
update
71
WaveRestoreZoom {0 ps} {74848022 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.