OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ipcore_dir/] [ila.ncf] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
#
2
# Clock constraints
3
#
4
NET "CLK" TNM_NET = D_CLK ;
5
INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
6
TIMESPEC TS_D2_TO_T2 = FROM D2_CLK TO "FFS" TIG;
7
TIMESPEC TS_J2_TO_D2 = FROM "FFS" TO D2_CLK TIG;
8
TIMESPEC TS_J3_TO_D2 = FROM "FFS" TO D2_CLK TIG;
9
TIMESPEC TS_J4_TO_D2 = FROM "FFS" TO D2_CLK TIG;
10
 
11
#
12
# Input keep/save net constraints
13
#
14
NET "TRIG0<*" S;
15
NET "TRIG0<*" KEEP;
16
NET "DATA<*" S;
17
NET "DATA<*" KEEP;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.