OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ipcore_dir/] [ila.xise] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
2
3
 
4
  
5
    
6
    
7
    
8
    
9
    
10
    
11
    
12
    
13
  
14
 
15
  
16
 
17
  
18
    
19
      
20
      
21
    
22
    
23
      
24
      
25
      
26
      
27
      
28
    
29
  
30
 
31
  
32
    
33
    
34
    
35
    
36
    
37
    
38
    
39
    
40
    
41
    
42
    
43
    
44
    
45
    
46
    
47
    
48
    
49
    
50
    
51
    
52
    
53
    
54
    
55
    
56
    
57
    
58
  
59
 
60
  
61
 
62
  
63
 
64
  
65
    
66
    
67
    
68
    
69
    
70
    
71
  
72
 
73

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.