OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ram.v] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
module ram(clk, addr, we, data_in, data_out);
2
parameter n = 4;
3
 
4
input clk, we;
5
input [n-1:0] addr;
6
input [7:0] data_in;
7
output reg [7:0] data_out;
8
 
9
reg [7:0] reg_array [2**n-1:0];
10
 
11
initial $readmemb("ram.mif", reg_array);
12
 
13
always @(posedge clk)
14
begin
15
    if (we == 1)
16
        reg_array[addr] <= data_in;
17
    data_out = reg_array[addr];
18
end
19
 
20
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.