OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [zxspectrum_de1/] [ula/] [test_ula.qsf] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 gdevic
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2013 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 64-Bit
20
# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
21
# Date created = 18:31:55  October 13, 2014
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               ula_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
set_global_assignment -name FAMILY "Cyclone II"
38
set_global_assignment -name DEVICE EP2C20F484C7
39
set_global_assignment -name TOP_LEVEL_ENTITY test_ula
40
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1"
41
set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:31:55  OCTOBER 13, 2014"
42
set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1"
43
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
44
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
45
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
46
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
47
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
48
 
49
###########################################################################
50
# System Clocks
51
###########################################################################
52
set_location_assignment PIN_D12 -to CLOCK_27
53
set_location_assignment PIN_E12 -to CLOCK_27_1
54
set_location_assignment PIN_B12 -to CLOCK_24
55
set_location_assignment PIN_A12 -to CLOCK_24_1
56
set_location_assignment PIN_L1 -to CLOCK_50
57
set_location_assignment PIN_M21 -to EXT_CLOCK
58
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_27
59
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_27_1
60
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24
61
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_24_1
62
set_instance_assignment -name IO_STANDARD LVTTL -to CLOCK_50
63
set_instance_assignment -name IO_STANDARD LVTTL -to EXT_CLOCK
64
 
65
###########################################################################
66
# Pushbuttons
67
###########################################################################
68
set_location_assignment PIN_R22 -to KEY0
69
set_location_assignment PIN_R21 -to KEY1
70
set_location_assignment PIN_T22 -to KEY2
71
set_location_assignment PIN_T21 -to KEY3
72
set_instance_assignment -name IO_STANDARD LVTTL -to KEY0
73
set_instance_assignment -name IO_STANDARD LVTTL -to KEY1
74
set_instance_assignment -name IO_STANDARD LVTTL -to KEY2
75
set_instance_assignment -name IO_STANDARD LVTTL -to KEY3
76
 
77
###########################################################################
78
# Toggle switches
79
###########################################################################
80
set_location_assignment PIN_L22 -to SW0
81
set_location_assignment PIN_L21 -to SW1
82
set_location_assignment PIN_M22 -to SW2
83
set_location_assignment PIN_V12 -to SW3
84
set_location_assignment PIN_W12 -to SW4
85
set_location_assignment PIN_U12 -to SW5
86
set_location_assignment PIN_U11 -to SW6
87
set_location_assignment PIN_M2 -to SW7
88
set_location_assignment PIN_M1 -to SW8
89
set_location_assignment PIN_L2 -to SW9
90
set_instance_assignment -name IO_STANDARD LVTTL -to SW0
91
set_instance_assignment -name IO_STANDARD LVTTL -to SW1
92
set_instance_assignment -name IO_STANDARD LVTTL -to SW2
93
set_instance_assignment -name IO_STANDARD LVTTL -to SW3
94
set_instance_assignment -name IO_STANDARD LVTTL -to SW4
95
set_instance_assignment -name IO_STANDARD LVTTL -to SW5
96
set_instance_assignment -name IO_STANDARD LVTTL -to SW6
97
set_instance_assignment -name IO_STANDARD LVTTL -to SW7
98
set_instance_assignment -name IO_STANDARD LVTTL -to SW8
99
set_instance_assignment -name IO_STANDARD LVTTL -to SW9
100
 
101
###########################################################################
102
# LEDs
103
###########################################################################
104
set_location_assignment PIN_R20 -to LEDR[0]
105
set_location_assignment PIN_R19 -to LEDR[1]
106
set_location_assignment PIN_U19 -to LEDR[2]
107
set_location_assignment PIN_Y19 -to LEDR[3]
108
set_location_assignment PIN_T18 -to LEDR[4]
109
set_location_assignment PIN_V19 -to LEDR[5]
110
set_location_assignment PIN_Y18 -to LEDR[6]
111
set_location_assignment PIN_U18 -to LEDR[7]
112
set_location_assignment PIN_R18 -to LEDR[8]
113
set_location_assignment PIN_R17 -to LEDR[9]
114
set_location_assignment PIN_U22 -to LEDG[0]
115
set_location_assignment PIN_U21 -to LEDG[1]
116
set_location_assignment PIN_V22 -to LEDG[2]
117
set_location_assignment PIN_V21 -to LEDG[3]
118
set_location_assignment PIN_W22 -to LEDG[4]
119
set_location_assignment PIN_W21 -to LEDG[5]
120
set_location_assignment PIN_Y22 -to LEDG[6]
121
set_location_assignment PIN_Y21 -to LEDG[7]
122
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[0]
123
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[1]
124
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[2]
125
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[3]
126
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[4]
127
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[5]
128
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[6]
129
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[7]
130
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[8]
131
set_instance_assignment -name IO_STANDARD LVTTL -to LEDR[9]
132
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[0]
133
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[1]
134
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[2]
135
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[3]
136
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[4]
137
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[5]
138
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[6]
139
set_instance_assignment -name IO_STANDARD LVTTL -to LEDG[7]
140
 
141
###########################################################################
142
# 7-Segment displays
143
###########################################################################
144
set_location_assignment PIN_J2 -to HEX0[0]
145
set_location_assignment PIN_J1 -to HEX0[1]
146
set_location_assignment PIN_H2 -to HEX0[2]
147
set_location_assignment PIN_H1 -to HEX0[3]
148
set_location_assignment PIN_F2 -to HEX0[4]
149
set_location_assignment PIN_F1 -to HEX0[5]
150
set_location_assignment PIN_E2 -to HEX0[6]
151
set_location_assignment PIN_E1 -to HEX1[0]
152
set_location_assignment PIN_H6 -to HEX1[1]
153
set_location_assignment PIN_H5 -to HEX1[2]
154
set_location_assignment PIN_H4 -to HEX1[3]
155
set_location_assignment PIN_G3 -to HEX1[4]
156
set_location_assignment PIN_D2 -to HEX1[5]
157
set_location_assignment PIN_D1 -to HEX1[6]
158
set_location_assignment PIN_G5 -to HEX2[0]
159
set_location_assignment PIN_G6 -to HEX2[1]
160
set_location_assignment PIN_C2 -to HEX2[2]
161
set_location_assignment PIN_C1 -to HEX2[3]
162
set_location_assignment PIN_E3 -to HEX2[4]
163
set_location_assignment PIN_E4 -to HEX2[5]
164
set_location_assignment PIN_D3 -to HEX2[6]
165
set_location_assignment PIN_F4 -to HEX3[0]
166
set_location_assignment PIN_D5 -to HEX3[1]
167
set_location_assignment PIN_D6 -to HEX3[2]
168
set_location_assignment PIN_J4 -to HEX3[3]
169
set_location_assignment PIN_L8 -to HEX3[4]
170
set_location_assignment PIN_F3 -to HEX3[5]
171
set_location_assignment PIN_D4 -to HEX3[6]
172
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[0]
173
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[1]
174
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[2]
175
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[3]
176
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[4]
177
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[5]
178
set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[6]
179
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[0]
180
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[1]
181
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[2]
182
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[3]
183
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[4]
184
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[5]
185
set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[6]
186
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[0]
187
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[1]
188
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[2]
189
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[3]
190
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[4]
191
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[5]
192
set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[6]
193
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[0]
194
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[1]
195
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[2]
196
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[3]
197
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[4]
198
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[5]
199
set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[6]
200
 
201
###########################################################################
202
# VGA
203
###########################################################################
204
set_location_assignment PIN_D9 -to VGA_R[0]
205
set_location_assignment PIN_C9 -to VGA_R[1]
206
set_location_assignment PIN_A7 -to VGA_R[2]
207
set_location_assignment PIN_B7 -to VGA_R[3]
208
set_location_assignment PIN_B8 -to VGA_G[0]
209
set_location_assignment PIN_C10 -to VGA_G[1]
210
set_location_assignment PIN_B9 -to VGA_G[2]
211
set_location_assignment PIN_A8 -to VGA_G[3]
212
set_location_assignment PIN_A9 -to VGA_B[0]
213
set_location_assignment PIN_D11 -to VGA_B[1]
214
set_location_assignment PIN_A10 -to VGA_B[2]
215
set_location_assignment PIN_B10 -to VGA_B[3]
216
set_location_assignment PIN_A11 -to VGA_HS
217
set_location_assignment PIN_B11 -to VGA_VS
218
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[0]
219
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[1]
220
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[2]
221
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_R[3]
222
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[0]
223
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[1]
224
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[2]
225
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_G[3]
226
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[0]
227
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[1]
228
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[2]
229
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_B[3]
230
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_HS
231
set_instance_assignment -name IO_STANDARD LVTTL -to VGA_VS
232
 
233
###########################################################################
234
# Audio Codec
235
###########################################################################
236
set_location_assignment PIN_A3 -to I2C_SCLK
237
set_location_assignment PIN_B3 -to I2C_SDAT
238
set_location_assignment PIN_A6 -to AUD_ADCLRCK
239
set_location_assignment PIN_B6 -to AUD_ADCDAT
240
set_location_assignment PIN_A5 -to AUD_DACLRCK
241
set_location_assignment PIN_B5 -to AUD_DACDAT
242
set_location_assignment PIN_B4 -to AUD_XCK
243
set_location_assignment PIN_A4 -to AUD_BCLK
244
set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SCLK
245
set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SDAT
246
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCLRCK
247
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCDAT
248
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACLRCK
249
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACDAT
250
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_XCK
251
set_instance_assignment -name IO_STANDARD LVTTL -to AUD_BCLK
252
 
253
###########################################################################
254
# Serial (UART)
255
###########################################################################
256
set_location_assignment PIN_F14 -to UART_RXD
257
set_location_assignment PIN_G12 -to UART_TXD
258
set_instance_assignment -name IO_STANDARD LVTTL -to UART_RXD
259
set_instance_assignment -name IO_STANDARD LVTTL -to UART_TXD
260
 
261
###########################################################################
262
# PS/2
263
###########################################################################
264
set_location_assignment PIN_H15 -to PS2_CLK
265
set_location_assignment PIN_J14 -to PS2_DAT
266
set_instance_assignment -name IO_STANDARD LVTTL -to PS2_CLK
267
set_instance_assignment -name IO_STANDARD LVTTL -to PS2_DAT
268
 
269
###########################################################################
270
# SD Card
271
###########################################################################
272
set_location_assignment PIN_E8 -to TDI
273
set_location_assignment PIN_D8 -to TCS
274
set_location_assignment PIN_C7 -to TCK
275
set_location_assignment PIN_D7 -to TDO
276
set_instance_assignment -name IO_STANDARD LVTTL -to TDI
277
set_instance_assignment -name IO_STANDARD LVTTL -to TCS
278
set_instance_assignment -name IO_STANDARD LVTTL -to TCK
279
set_instance_assignment -name IO_STANDARD LVTTL -to TDO
280
 
281
###########################################################################
282
# SDRAM
283
###########################################################################
284
set_location_assignment PIN_W4 -to DRAM_ADDR[0]
285
set_location_assignment PIN_W5 -to DRAM_ADDR[1]
286
set_location_assignment PIN_Y3 -to DRAM_ADDR[2]
287
set_location_assignment PIN_Y4 -to DRAM_ADDR[3]
288
set_location_assignment PIN_R6 -to DRAM_ADDR[4]
289
set_location_assignment PIN_R5 -to DRAM_ADDR[5]
290
set_location_assignment PIN_P6 -to DRAM_ADDR[6]
291
set_location_assignment PIN_P5 -to DRAM_ADDR[7]
292
set_location_assignment PIN_P3 -to DRAM_ADDR[8]
293
set_location_assignment PIN_N4 -to DRAM_ADDR[9]
294
set_location_assignment PIN_W3 -to DRAM_ADDR[10]
295
set_location_assignment PIN_N6 -to DRAM_ADDR[11]
296
set_location_assignment PIN_U1 -to DRAM_DQ[0]
297
set_location_assignment PIN_U2 -to DRAM_DQ[1]
298
set_location_assignment PIN_V1 -to DRAM_DQ[2]
299
set_location_assignment PIN_V2 -to DRAM_DQ[3]
300
set_location_assignment PIN_W1 -to DRAM_DQ[4]
301
set_location_assignment PIN_W2 -to DRAM_DQ[5]
302
set_location_assignment PIN_Y1 -to DRAM_DQ[6]
303
set_location_assignment PIN_Y2 -to DRAM_DQ[7]
304
set_location_assignment PIN_N1 -to DRAM_DQ[8]
305
set_location_assignment PIN_N2 -to DRAM_DQ[9]
306
set_location_assignment PIN_P1 -to DRAM_DQ[10]
307
set_location_assignment PIN_P2 -to DRAM_DQ[11]
308
set_location_assignment PIN_R1 -to DRAM_DQ[12]
309
set_location_assignment PIN_R2 -to DRAM_DQ[13]
310
set_location_assignment PIN_T1 -to DRAM_DQ[14]
311
set_location_assignment PIN_T2 -to DRAM_DQ[15]
312
set_location_assignment PIN_U3 -to DRAM_BA_0
313
set_location_assignment PIN_V4 -to DRAM_BA_1
314
set_location_assignment PIN_R7 -to DRAM_LDQM
315
set_location_assignment PIN_M5 -to DRAM_UDQM
316
set_location_assignment PIN_T5 -to DRAM_RAS_N
317
set_location_assignment PIN_T3 -to DRAM_CAS_N
318
set_location_assignment PIN_N3 -to DRAM_CKE
319
set_location_assignment PIN_U4 -to DRAM_CLK
320
set_location_assignment PIN_R8 -to DRAM_WE_N
321
set_location_assignment PIN_T6 -to DRAM_CS_N
322
 
323
###########################################################################
324
# SRAM
325
###########################################################################
326
set_location_assignment PIN_AA3 -to SRAM_ADDR[0]
327
set_location_assignment PIN_AB3 -to SRAM_ADDR[1]
328
set_location_assignment PIN_AA4 -to SRAM_ADDR[2]
329
set_location_assignment PIN_AB4 -to SRAM_ADDR[3]
330
set_location_assignment PIN_AA5 -to SRAM_ADDR[4]
331
set_location_assignment PIN_AB10 -to SRAM_ADDR[5]
332
set_location_assignment PIN_AA11 -to SRAM_ADDR[6]
333
set_location_assignment PIN_AB11 -to SRAM_ADDR[7]
334
set_location_assignment PIN_V11 -to SRAM_ADDR[8]
335
set_location_assignment PIN_W11 -to SRAM_ADDR[9]
336
set_location_assignment PIN_R11 -to SRAM_ADDR[10]
337
set_location_assignment PIN_T11 -to SRAM_ADDR[11]
338
set_location_assignment PIN_Y10 -to SRAM_ADDR[12]
339
set_location_assignment PIN_U10 -to SRAM_ADDR[13]
340
set_location_assignment PIN_R10 -to SRAM_ADDR[14]
341
set_location_assignment PIN_T7 -to SRAM_ADDR[15]
342
set_location_assignment PIN_Y6 -to SRAM_ADDR[16]
343
set_location_assignment PIN_Y5 -to SRAM_ADDR[17]
344
set_location_assignment PIN_AA6 -to SRAM_DQ[0]
345
set_location_assignment PIN_AB6 -to SRAM_DQ[1]
346
set_location_assignment PIN_AA7 -to SRAM_DQ[2]
347
set_location_assignment PIN_AB7 -to SRAM_DQ[3]
348
set_location_assignment PIN_AA8 -to SRAM_DQ[4]
349
set_location_assignment PIN_AB8 -to SRAM_DQ[5]
350
set_location_assignment PIN_AA9 -to SRAM_DQ[6]
351
set_location_assignment PIN_AB9 -to SRAM_DQ[7]
352
set_location_assignment PIN_Y9 -to SRAM_DQ[8]
353
set_location_assignment PIN_W9 -to SRAM_DQ[9]
354
set_location_assignment PIN_V9 -to SRAM_DQ[10]
355
set_location_assignment PIN_U9 -to SRAM_DQ[11]
356
set_location_assignment PIN_R9 -to SRAM_DQ[12]
357
set_location_assignment PIN_W8 -to SRAM_DQ[13]
358
set_location_assignment PIN_V8 -to SRAM_DQ[14]
359
set_location_assignment PIN_U8 -to SRAM_DQ[15]
360
set_location_assignment PIN_AA10 -to SRAM_WE_N
361
set_location_assignment PIN_T8 -to SRAM_OE_N
362
set_location_assignment PIN_W7 -to SRAM_UB_N
363
set_location_assignment PIN_Y7 -to SRAM_LB_N
364
set_location_assignment PIN_AB5 -to SRAM_CE_N
365
 
366
###########################################################################
367
# FLASH
368
###########################################################################
369
set_location_assignment PIN_AB20 -to FL_ADDR[0]
370
set_location_assignment PIN_AA14 -to FL_ADDR[1]
371
set_location_assignment PIN_Y16 -to FL_ADDR[2]
372
set_location_assignment PIN_R15 -to FL_ADDR[3]
373
set_location_assignment PIN_T15 -to FL_ADDR[4]
374
set_location_assignment PIN_U15 -to FL_ADDR[5]
375
set_location_assignment PIN_V15 -to FL_ADDR[6]
376
set_location_assignment PIN_W15 -to FL_ADDR[7]
377
set_location_assignment PIN_R14 -to FL_ADDR[8]
378
set_location_assignment PIN_Y13 -to FL_ADDR[9]
379
set_location_assignment PIN_R12 -to FL_ADDR[10]
380
set_location_assignment PIN_T12 -to FL_ADDR[11]
381
set_location_assignment PIN_AB14 -to FL_ADDR[12]
382
set_location_assignment PIN_AA13 -to FL_ADDR[13]
383
set_location_assignment PIN_AB13 -to FL_ADDR[14]
384
set_location_assignment PIN_AA12 -to FL_ADDR[15]
385
set_location_assignment PIN_AB12 -to FL_ADDR[16]
386
set_location_assignment PIN_AA20 -to FL_ADDR[17]
387
set_location_assignment PIN_U14 -to FL_ADDR[18]
388
set_location_assignment PIN_V14 -to FL_ADDR[19]
389
set_location_assignment PIN_U13 -to FL_ADDR[20]
390
set_location_assignment PIN_R13 -to FL_ADDR[21]
391
set_location_assignment PIN_AB16 -to FL_DQ[0]
392
set_location_assignment PIN_AA16 -to FL_DQ[1]
393
set_location_assignment PIN_AB17 -to FL_DQ[2]
394
set_location_assignment PIN_AA17 -to FL_DQ[3]
395
set_location_assignment PIN_AB18 -to FL_DQ[4]
396
set_location_assignment PIN_AA18 -to FL_DQ[5]
397
set_location_assignment PIN_AB19 -to FL_DQ[6]
398
set_location_assignment PIN_AA19 -to FL_DQ[7]
399
set_location_assignment PIN_AB15 -to FL_CE_N
400
set_location_assignment PIN_AA15 -to FL_OE_N
401
set_location_assignment PIN_W14 -to FL_RST_N
402
set_location_assignment PIN_Y14 -to FL_WE_N
403
 
404
###########################################################################
405
# GPIO-0 Expansion Header 1
406
###########################################################################
407
set_location_assignment PIN_A13 -to GPIO_0[0]
408
set_location_assignment PIN_B13 -to GPIO_0[1]
409
set_location_assignment PIN_A14 -to GPIO_0[2]
410
set_location_assignment PIN_B14 -to GPIO_0[3]
411
set_location_assignment PIN_A15 -to GPIO_0[4]
412
set_location_assignment PIN_B15 -to GPIO_0[5]
413
set_location_assignment PIN_A16 -to GPIO_0[6]
414
set_location_assignment PIN_B16 -to GPIO_0[7]
415
set_location_assignment PIN_A17 -to GPIO_0[8]
416
set_location_assignment PIN_B17 -to GPIO_0[9]
417
set_location_assignment PIN_A18 -to GPIO_0[10]
418
set_location_assignment PIN_B18 -to GPIO_0[11]
419
set_location_assignment PIN_A19 -to GPIO_0[12]
420
set_location_assignment PIN_B19 -to GPIO_0[13]
421
set_location_assignment PIN_A20 -to GPIO_0[14]
422
set_location_assignment PIN_B20 -to GPIO_0[15]
423
set_location_assignment PIN_C21 -to GPIO_0[16]
424
set_location_assignment PIN_C22 -to GPIO_0[17]
425
set_location_assignment PIN_D21 -to GPIO_0[18]
426
set_location_assignment PIN_D22 -to GPIO_0[19]
427
set_location_assignment PIN_E21 -to GPIO_0[20]
428
set_location_assignment PIN_E22 -to GPIO_0[21]
429
set_location_assignment PIN_F21 -to GPIO_0[22]
430
set_location_assignment PIN_F22 -to GPIO_0[23]
431
set_location_assignment PIN_G21 -to GPIO_0[24]
432
set_location_assignment PIN_G22 -to GPIO_0[25]
433
set_location_assignment PIN_J21 -to GPIO_0[26]
434
set_location_assignment PIN_J22 -to GPIO_0[27]
435
set_location_assignment PIN_K21 -to GPIO_0[28]
436
set_location_assignment PIN_K22 -to GPIO_0[29]
437
set_location_assignment PIN_J19 -to GPIO_0[30]
438
set_location_assignment PIN_J20 -to GPIO_0[31]
439
set_location_assignment PIN_J18 -to GPIO_0[32]
440
set_location_assignment PIN_K20 -to GPIO_0[33]
441
set_location_assignment PIN_L19 -to GPIO_0[34]
442
set_location_assignment PIN_L18 -to GPIO_0[35]
443
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[0]
444
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[1]
445
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[2]
446
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[3]
447
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[4]
448
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[5]
449
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[6]
450
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[7]
451
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[8]
452
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[9]
453
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[10]
454
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[11]
455
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[12]
456
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[13]
457
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[14]
458
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[15]
459
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[16]
460
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[17]
461
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[18]
462
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[19]
463
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[20]
464
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[21]
465
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[22]
466
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[23]
467
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[24]
468
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[25]
469
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[26]
470
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[27]
471
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[28]
472
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[29]
473
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[30]
474
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[31]
475
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[32]
476
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[33]
477
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[34]
478
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_0[35]
479
 
480
###########################################################################
481
# GPIO-1 Expansion Header 2
482
###########################################################################
483
set_location_assignment PIN_H12 -to GPIO_1[0]
484
set_location_assignment PIN_H13 -to GPIO_1[1]
485
set_location_assignment PIN_H14 -to GPIO_1[2]
486
set_location_assignment PIN_G15 -to GPIO_1[3]
487
set_location_assignment PIN_E14 -to GPIO_1[4]
488
set_location_assignment PIN_E15 -to GPIO_1[5]
489
set_location_assignment PIN_F15 -to GPIO_1[6]
490
set_location_assignment PIN_G16 -to GPIO_1[7]
491
set_location_assignment PIN_F12 -to GPIO_1[8]
492
set_location_assignment PIN_F13 -to GPIO_1[9]
493
set_location_assignment PIN_C14 -to GPIO_1[10]
494
set_location_assignment PIN_D14 -to GPIO_1[11]
495
set_location_assignment PIN_D15 -to GPIO_1[12]
496
set_location_assignment PIN_D16 -to GPIO_1[13]
497
set_location_assignment PIN_C17 -to GPIO_1[14]
498
set_location_assignment PIN_C18 -to GPIO_1[15]
499
set_location_assignment PIN_C19 -to GPIO_1[16]
500
set_location_assignment PIN_C20 -to GPIO_1[17]
501
set_location_assignment PIN_D19 -to GPIO_1[18]
502
set_location_assignment PIN_D20 -to GPIO_1[19]
503
set_location_assignment PIN_E20 -to GPIO_1[20]
504
set_location_assignment PIN_F20 -to GPIO_1[21]
505
set_location_assignment PIN_E19 -to GPIO_1[22]
506
set_location_assignment PIN_E18 -to GPIO_1[23]
507
set_location_assignment PIN_G20 -to GPIO_1[24]
508
set_location_assignment PIN_G18 -to GPIO_1[25]
509
set_location_assignment PIN_G17 -to GPIO_1[26]
510
set_location_assignment PIN_H17 -to GPIO_1[27]
511
set_location_assignment PIN_J15 -to GPIO_1[28]
512
set_location_assignment PIN_H18 -to GPIO_1[29]
513
set_location_assignment PIN_N22 -to GPIO_1[30]
514
set_location_assignment PIN_N21 -to GPIO_1[31]
515
set_location_assignment PIN_P15 -to GPIO_1[32]
516
set_location_assignment PIN_N15 -to GPIO_1[33]
517
set_location_assignment PIN_P17 -to GPIO_1[34]
518
set_location_assignment PIN_P18 -to GPIO_1[35]
519
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[0]
520
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[1]
521
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[2]
522
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[3]
523
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[4]
524
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[5]
525
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[6]
526
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[7]
527
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[8]
528
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[9]
529
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[10]
530
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[11]
531
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[12]
532
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[13]
533
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[14]
534
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[15]
535
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[16]
536
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[17]
537
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[18]
538
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[19]
539
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[20]
540
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[21]
541
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[22]
542
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[23]
543
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[24]
544
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[25]
545
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[26]
546
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[27]
547
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[28]
548
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[29]
549
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[30]
550
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[31]
551
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[32]
552
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[33]
553
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[34]
554
set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[35]
555
 
556
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
557
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
558
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
559
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
560
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
561
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
562
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
563
set_global_assignment -name STRATIX_CONFIGURATION_DEVICE EPCS4
564
set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
565
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
566
set_global_assignment -name SMART_RECOMPILE ON
567
set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS"
568
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON
569
set_global_assignment -name FITTER_EFFORT "FAST FIT"
570
set_global_assignment -name QIP_FILE ram8.qip
571
set_global_assignment -name QIP_FILE pll.qip
572
set_global_assignment -name SYSTEMVERILOG_FILE test_ula.sv
573
set_global_assignment -name SYSTEMVERILOG_FILE clocks.sv
574
set_global_assignment -name SYSTEMVERILOG_FILE video.sv
575
set_global_assignment -name SYSTEMVERILOG_FILE zx_kbd.sv
576
set_global_assignment -name SYSTEMVERILOG_FILE ps2_kbd.sv
577
set_global_assignment -name SYSTEMVERILOG_FILE uart_core.sv
578
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.