OpenCores
URL https://opencores.org/ocsvn/ac97/ac97/trunk

Subversion Repositories ac97

[/] [ac97/] [trunk/] [syn/] [bin/] [comp.dc] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 rudi
###############################################################################
2
#
3
# Actual Synthesis Script
4
#
5
# This script does the actual synthesis
6
#
7
# Author: Rudolf Usselmann
8
#         rudi@asics.ws
9
#
10
# Revision:
11
# 3/7/01 RU Initial Sript
12
#
13
#
14
###############################################################################
15
 
16
# ==============================================
17
# Setup Design Parameters
18
source ../bin/design_spec.dc
19
 
20
# ==============================================
21
# Setup Libraries
22
source ../bin/lib_spec.dc
23
 
24
# ==============================================
25
# Setup File IO
26
 
27
set junk_file /dev/null
28
append log_file               ../log/$active_design "_cmp.log"
29
append pre_comp_db_file       ../out/$design_name "_pre.db"
30
append post_comp_db_file      ../out/$design_name ".db"
31
append post_syn_verilog_file  ../out/$design_name "_ps.v"
32
 
33
sh rm -f $log_file
34
# ==============================================
35
# Setup Misc Variables
36
 
37
set hdlin_enable_vpp true       ;# Important - this enables 'ifdefs
38
 
39
# ==============================================
40
# Read Design
41
 
42
echo "+++++++++ Reading Design ..."                             >> $log_file
43
read_file $pre_comp_db_file                                     >> $log_file
44
 
45
# ==============================================
46
# Operating conditions
47
 
48
echo "+++++++++ Setting up Operation Conditions ..."            >> $log_file
49
current_design $design_name
50
set_operating_conditions WORST                                  >> $log_file
51
 
52
# ==============================================
53
# Setup Clocks and Resets
54
 
55
echo "+++++++++ Setting up Clocks ..."                          >> $log_file
56
 
57
set_drive 0 [find port {*clk}]
58
 
59
# !!! WISHBONE Clock !!!
60
set clock_period 5
61
create_clock -period $clock_period clk
62
set_clock_skew -uncertainty 0.1 clk
63
set_clock_transition 0.5 clk
64
set_dont_touch_network clk
65
 
66
# !!! BIT Clock !!!
67
set clock_period 500
68
create_clock -period $clock_period bit_clk
69
set_clock_skew -uncertainty 0.1 bit_clk
70
set_clock_transition 0.5 bit_clk
71
set_dont_touch_network bit_clk
72
 
73
# !!! Reset !!!
74
set_drive 0 [find port {rst*}]
75
set_dont_touch_network [find port {rst*}]
76
 
77
# ==============================================
78
# Setup IOs
79
 
80
echo "+++++++++ Setting up IOs ..."                             >> $log_file
81
 
82
# Need to spell out external IOs
83
 
84
set_driving_cell -cell NAND2D2 -pin Z [all_inputs]              >> $junk_file
85
set_load 0.2 [all_outputs]
86
 
87
set_input_delay -max 1 -clock clk [all_inputs]
88
set_output_delay -max 1 -clock clk [all_outputs]
89
 
90
set_input_delay -max 1 -clock bit_clk sdata_in
91
set_output_delay -max 1 -clock bit_clk sdata_out
92
 
93
# ==============================================
94
# Setup Area Constrains
95
set_max_area 0.0
96
 
97
# ==============================================
98
# Force Ultra
99
set_ultra_optimization -f
100
 
101
# ==============================================
102
# Compile Design
103
 
104
echo "+++++++++ Starting Compile ..."                           >> $log_file
105
#compile -map_effort medium -area_effort medium -ungroup_all    >> $log_file
106
compile -map_effort low -area_effort low                       >> $log_file
107
#compile -map_effort high -area_effort high -ungroup_all        >> $log_file
108
#compile -map_effort high -area_effort high -auto_ungroup       >> $log_file
109
 
110
# ==============================================
111
# Write Out the optimized design
112
 
113
echo "+++++++++ Saving Optimized Design ..."                    >> $log_file
114
write_file -format verilog -output $post_syn_verilog_file
115
write_file -hierarchy -format db -output $post_comp_db_file
116
 
117
# ==============================================
118
# Create Some Basic Reports
119
 
120
echo "+++++++++ Reporting Final Results ..."                    >> $log_file
121
report_timing -nworst 10                                        >> $log_file
122
report_area                                                     >> $log_file
123
 
124
 
125
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.