OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.cache/] [ip/] [2017.4/] [9f55fc6c5f1def66/] [microblaze_0_stub.vhdl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
-- --------------------------------------------------------------------------------
3
-- Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
-- Date        : Thu Jul 23 11:02:36 2020
5
-- Host        : gigant.modulew.local running 64-bit Red Hat Enterprise Linux Server release 6.9 (Santiago)
6
-- Command     : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
7
--               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ microblaze_0_stub.vhdl
8
-- Design      : microblaze_0
9
-- Purpose     : Stub declaration of top-level module interface
10
-- Device      : xc7k325tffg900-2
11
-- --------------------------------------------------------------------------------
12
library IEEE;
13
use IEEE.STD_LOGIC_1164.ALL;
14
 
15
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
16
  Port (
17
    Clk : in STD_LOGIC;
18
    Reset : in STD_LOGIC;
19
    Interrupt : in STD_LOGIC;
20
    Interrupt_Address : in STD_LOGIC_VECTOR ( 0 to 31 );
21
    Interrupt_Ack : out STD_LOGIC_VECTOR ( 0 to 1 );
22
    Instr_Addr : out STD_LOGIC_VECTOR ( 0 to 31 );
23
    Instr : in STD_LOGIC_VECTOR ( 0 to 31 );
24
    IFetch : out STD_LOGIC;
25
    I_AS : out STD_LOGIC;
26
    IReady : in STD_LOGIC;
27
    IWAIT : in STD_LOGIC;
28
    ICE : in STD_LOGIC;
29
    IUE : in STD_LOGIC;
30
    Data_Addr : out STD_LOGIC_VECTOR ( 0 to 31 );
31
    Data_Read : in STD_LOGIC_VECTOR ( 0 to 31 );
32
    Data_Write : out STD_LOGIC_VECTOR ( 0 to 31 );
33
    D_AS : out STD_LOGIC;
34
    Read_Strobe : out STD_LOGIC;
35
    Write_Strobe : out STD_LOGIC;
36
    DReady : in STD_LOGIC;
37
    DWait : in STD_LOGIC;
38
    DCE : in STD_LOGIC;
39
    DUE : in STD_LOGIC;
40
    Byte_Enable : out STD_LOGIC_VECTOR ( 0 to 3 );
41
    M_AXI_DP_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
42
    M_AXI_DP_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
43
    M_AXI_DP_AWVALID : out STD_LOGIC;
44
    M_AXI_DP_AWREADY : in STD_LOGIC;
45
    M_AXI_DP_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
46
    M_AXI_DP_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
47
    M_AXI_DP_WVALID : out STD_LOGIC;
48
    M_AXI_DP_WREADY : in STD_LOGIC;
49
    M_AXI_DP_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
50
    M_AXI_DP_BVALID : in STD_LOGIC;
51
    M_AXI_DP_BREADY : out STD_LOGIC;
52
    M_AXI_DP_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
53
    M_AXI_DP_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
54
    M_AXI_DP_ARVALID : out STD_LOGIC;
55
    M_AXI_DP_ARREADY : in STD_LOGIC;
56
    M_AXI_DP_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
57
    M_AXI_DP_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
58
    M_AXI_DP_RVALID : in STD_LOGIC;
59
    M_AXI_DP_RREADY : out STD_LOGIC
60
  );
61
 
62
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
63
 
64
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
65
attribute syn_black_box : boolean;
66
attribute black_box_pad_pin : string;
67
attribute syn_black_box of stub : architecture is true;
68
attribute black_box_pad_pin of stub : architecture is "Clk,Reset,Interrupt,Interrupt_Address[0:31],Interrupt_Ack[0:1],Instr_Addr[0:31],Instr[0:31],IFetch,I_AS,IReady,IWAIT,ICE,IUE,Data_Addr[0:31],Data_Read[0:31],Data_Write[0:31],D_AS,Read_Strobe,Write_Strobe,DReady,DWait,DCE,DUE,Byte_Enable[0:3],M_AXI_DP_AWADDR[31:0],M_AXI_DP_AWPROT[2:0],M_AXI_DP_AWVALID,M_AXI_DP_AWREADY,M_AXI_DP_WDATA[31:0],M_AXI_DP_WSTRB[3:0],M_AXI_DP_WVALID,M_AXI_DP_WREADY,M_AXI_DP_BRESP[1:0],M_AXI_DP_BVALID,M_AXI_DP_BREADY,M_AXI_DP_ARADDR[31:0],M_AXI_DP_ARPROT[2:0],M_AXI_DP_ARVALID,M_AXI_DP_ARREADY,M_AXI_DP_RDATA[31:0],M_AXI_DP_RRESP[1:0],M_AXI_DP_RVALID,M_AXI_DP_RREADY";
69
attribute x_core_info : string;
70
attribute x_core_info of stub : architecture is "MicroBlaze,Vivado 2017.4";
71
begin
72
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.