OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.cache/] [ip/] [2017.4/] [bd4a7ee8a4ca1bdd/] [clk_gen_sim_netlist.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
// --------------------------------------------------------------------------------
3
// Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
// Date        : Thu Jul 23 09:43:35 2020
5
// Host        : gigant.modulew.local running 64-bit Red Hat Enterprise Linux Server release 6.9 (Santiago)
6
// Command     : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
7
//               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_gen_sim_netlist.v
8
// Design      : clk_gen
9
// Purpose     : This verilog netlist is a functional simulation representation of the design and should not be modified
10
//               or synthesized. This netlist cannot be used for SDF annotated simulation.
11
// Device      : xc7k325tffg900-2
12
// --------------------------------------------------------------------------------
13
`timescale 1 ps / 1 ps
14
 
15
(* NotValidForBitStream *)
16
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
17
   (clk_out1,
18
    reset,
19
    locked,
20
    clk_in1_p,
21
    clk_in1_n);
22
  output clk_out1;
23
  input reset;
24
  output locked;
25
  input clk_in1_p;
26
  input clk_in1_n;
27
 
28
  (* DIFF_TERM = 0 *) (* IBUF_LOW_PWR *) wire clk_in1_n;
29
  (* DIFF_TERM = 0 *) (* IBUF_LOW_PWR *) wire clk_in1_p;
30
  wire clk_out1;
31
  wire locked;
32
  wire reset;
33
 
34
  decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_gen_clk_wiz inst
35
       (.clk_in1_n(clk_in1_n),
36
        .clk_in1_p(clk_in1_p),
37
        .clk_out1(clk_out1),
38
        .locked(locked),
39
        .reset(reset));
40
endmodule
41
 
42
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_gen_clk_wiz
43
   (clk_out1,
44
    reset,
45
    locked,
46
    clk_in1_p,
47
    clk_in1_n);
48
  output clk_out1;
49
  input reset;
50
  output locked;
51
  input clk_in1_p;
52
  input clk_in1_n;
53
 
54
  wire clk_in1_clk_gen;
55
  wire clk_in1_n;
56
  wire clk_in1_p;
57
  wire clk_out1;
58
  wire clk_out1_clk_gen;
59
  wire clkfbout_buf_clk_gen;
60
  wire clkfbout_clk_gen;
61
  wire locked;
62
  wire reset;
63
  wire NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED;
64
  wire NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED;
65
  wire NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED;
66
  wire NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED;
67
  wire NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED;
68
  wire NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED;
69
  wire NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED;
70
  wire NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED;
71
  wire NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED;
72
  wire NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED;
73
  wire NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED;
74
  wire NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED;
75
  wire NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED;
76
  wire NLW_mmcm_adv_inst_DRDY_UNCONNECTED;
77
  wire NLW_mmcm_adv_inst_PSDONE_UNCONNECTED;
78
  wire [15:0]NLW_mmcm_adv_inst_DO_UNCONNECTED;
79
 
80
  (* BOX_TYPE = "PRIMITIVE" *)
81
  BUFG clkf_buf
82
       (.I(clkfbout_clk_gen),
83
        .O(clkfbout_buf_clk_gen));
84
  (* BOX_TYPE = "PRIMITIVE" *)
85
  (* CAPACITANCE = "DONT_CARE" *)
86
  (* IBUF_DELAY_VALUE = "0" *)
87
  (* IFD_DELAY_VALUE = "AUTO" *)
88
  IBUFDS #(
89
    .IOSTANDARD("DEFAULT"))
90
    clkin1_ibufgds
91
       (.I(clk_in1_p),
92
        .IB(clk_in1_n),
93
        .O(clk_in1_clk_gen));
94
  (* BOX_TYPE = "PRIMITIVE" *)
95
  BUFG clkout1_buf
96
       (.I(clk_out1_clk_gen),
97
        .O(clk_out1));
98
  (* BOX_TYPE = "PRIMITIVE" *)
99
  MMCME2_ADV #(
100
    .BANDWIDTH("OPTIMIZED"),
101
    .CLKFBOUT_MULT_F(5.000000),
102
    .CLKFBOUT_PHASE(0.000000),
103
    .CLKFBOUT_USE_FINE_PS("FALSE"),
104
    .CLKIN1_PERIOD(5.000000),
105
    .CLKIN2_PERIOD(0.000000),
106
    .CLKOUT0_DIVIDE_F(10.000000),
107
    .CLKOUT0_DUTY_CYCLE(0.500000),
108
    .CLKOUT0_PHASE(0.000000),
109
    .CLKOUT0_USE_FINE_PS("FALSE"),
110
    .CLKOUT1_DIVIDE(1),
111
    .CLKOUT1_DUTY_CYCLE(0.500000),
112
    .CLKOUT1_PHASE(0.000000),
113
    .CLKOUT1_USE_FINE_PS("FALSE"),
114
    .CLKOUT2_DIVIDE(1),
115
    .CLKOUT2_DUTY_CYCLE(0.500000),
116
    .CLKOUT2_PHASE(0.000000),
117
    .CLKOUT2_USE_FINE_PS("FALSE"),
118
    .CLKOUT3_DIVIDE(1),
119
    .CLKOUT3_DUTY_CYCLE(0.500000),
120
    .CLKOUT3_PHASE(0.000000),
121
    .CLKOUT3_USE_FINE_PS("FALSE"),
122
    .CLKOUT4_CASCADE("FALSE"),
123
    .CLKOUT4_DIVIDE(1),
124
    .CLKOUT4_DUTY_CYCLE(0.500000),
125
    .CLKOUT4_PHASE(0.000000),
126
    .CLKOUT4_USE_FINE_PS("FALSE"),
127
    .CLKOUT5_DIVIDE(1),
128
    .CLKOUT5_DUTY_CYCLE(0.500000),
129
    .CLKOUT5_PHASE(0.000000),
130
    .CLKOUT5_USE_FINE_PS("FALSE"),
131
    .CLKOUT6_DIVIDE(1),
132
    .CLKOUT6_DUTY_CYCLE(0.500000),
133
    .CLKOUT6_PHASE(0.000000),
134
    .CLKOUT6_USE_FINE_PS("FALSE"),
135
    .COMPENSATION("ZHOLD"),
136
    .DIVCLK_DIVIDE(1),
137
    .IS_CLKINSEL_INVERTED(1'b0),
138
    .IS_PSEN_INVERTED(1'b0),
139
    .IS_PSINCDEC_INVERTED(1'b0),
140
    .IS_PWRDWN_INVERTED(1'b0),
141
    .IS_RST_INVERTED(1'b0),
142
    .REF_JITTER1(0.010000),
143
    .REF_JITTER2(0.010000),
144
    .SS_EN("FALSE"),
145
    .SS_MODE("CENTER_HIGH"),
146
    .SS_MOD_PERIOD(10000),
147
    .STARTUP_WAIT("FALSE"))
148
    mmcm_adv_inst
149
       (.CLKFBIN(clkfbout_buf_clk_gen),
150
        .CLKFBOUT(clkfbout_clk_gen),
151
        .CLKFBOUTB(NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED),
152
        .CLKFBSTOPPED(NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED),
153
        .CLKIN1(clk_in1_clk_gen),
154
        .CLKIN2(1'b0),
155
        .CLKINSEL(1'b1),
156
        .CLKINSTOPPED(NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED),
157
        .CLKOUT0(clk_out1_clk_gen),
158
        .CLKOUT0B(NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED),
159
        .CLKOUT1(NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED),
160
        .CLKOUT1B(NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED),
161
        .CLKOUT2(NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED),
162
        .CLKOUT2B(NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED),
163
        .CLKOUT3(NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED),
164
        .CLKOUT3B(NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED),
165
        .CLKOUT4(NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED),
166
        .CLKOUT5(NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED),
167
        .CLKOUT6(NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED),
168
        .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
169
        .DCLK(1'b0),
170
        .DEN(1'b0),
171
        .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}),
172
        .DO(NLW_mmcm_adv_inst_DO_UNCONNECTED[15:0]),
173
        .DRDY(NLW_mmcm_adv_inst_DRDY_UNCONNECTED),
174
        .DWE(1'b0),
175
        .LOCKED(locked),
176
        .PSCLK(1'b0),
177
        .PSDONE(NLW_mmcm_adv_inst_PSDONE_UNCONNECTED),
178
        .PSEN(1'b0),
179
        .PSINCDEC(1'b0),
180
        .PWRDWN(1'b0),
181
        .RST(reset));
182
endmodule
183
`ifndef GLBL
184
`define GLBL
185
`timescale  1 ps / 1 ps
186
 
187
module glbl ();
188
 
189
    parameter ROC_WIDTH = 100000;
190
    parameter TOC_WIDTH = 0;
191
 
192
//--------   STARTUP Globals --------------
193
    wire GSR;
194
    wire GTS;
195
    wire GWE;
196
    wire PRLD;
197
    tri1 p_up_tmp;
198
    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
199
 
200
    wire PROGB_GLBL;
201
    wire CCLKO_GLBL;
202
    wire FCSBO_GLBL;
203
    wire [3:0] DO_GLBL;
204
    wire [3:0] DI_GLBL;
205
 
206
    reg GSR_int;
207
    reg GTS_int;
208
    reg PRLD_int;
209
 
210
//--------   JTAG Globals --------------
211
    wire JTAG_TDO_GLBL;
212
    wire JTAG_TCK_GLBL;
213
    wire JTAG_TDI_GLBL;
214
    wire JTAG_TMS_GLBL;
215
    wire JTAG_TRST_GLBL;
216
 
217
    reg JTAG_CAPTURE_GLBL;
218
    reg JTAG_RESET_GLBL;
219
    reg JTAG_SHIFT_GLBL;
220
    reg JTAG_UPDATE_GLBL;
221
    reg JTAG_RUNTEST_GLBL;
222
 
223
    reg JTAG_SEL1_GLBL = 0;
224
    reg JTAG_SEL2_GLBL = 0 ;
225
    reg JTAG_SEL3_GLBL = 0;
226
    reg JTAG_SEL4_GLBL = 0;
227
 
228
    reg JTAG_USER_TDO1_GLBL = 1'bz;
229
    reg JTAG_USER_TDO2_GLBL = 1'bz;
230
    reg JTAG_USER_TDO3_GLBL = 1'bz;
231
    reg JTAG_USER_TDO4_GLBL = 1'bz;
232
 
233
    assign (strong1, weak0) GSR = GSR_int;
234
    assign (strong1, weak0) GTS = GTS_int;
235
    assign (weak1, weak0) PRLD = PRLD_int;
236
 
237
    initial begin
238
        GSR_int = 1'b1;
239
        PRLD_int = 1'b1;
240
        #(ROC_WIDTH)
241
        GSR_int = 1'b0;
242
        PRLD_int = 1'b0;
243
    end
244
 
245
    initial begin
246
        GTS_int = 1'b1;
247
        #(TOC_WIDTH)
248
        GTS_int = 1'b0;
249
    end
250
 
251
endmodule
252
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.