OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.cache/] [ip/] [2017.4/] [bd4a7ee8a4ca1bdd/] [clk_gen_sim_netlist.vhdl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
-- --------------------------------------------------------------------------------
3
-- Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
-- Date        : Thu Jul 23 09:43:35 2020
5
-- Host        : gigant.modulew.local running 64-bit Red Hat Enterprise Linux Server release 6.9 (Santiago)
6
-- Command     : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
7
--               decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_gen_sim_netlist.vhdl
8
-- Design      : clk_gen
9
-- Purpose     : This VHDL netlist is a functional simulation representation of the design and should not be modified or
10
--               synthesized. This netlist cannot be used for SDF annotated simulation.
11
-- Device      : xc7k325tffg900-2
12
-- --------------------------------------------------------------------------------
13
library IEEE;
14
use IEEE.STD_LOGIC_1164.ALL;
15
library UNISIM;
16
use UNISIM.VCOMPONENTS.ALL;
17
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_gen_clk_wiz is
18
  port (
19
    clk_out1 : out STD_LOGIC;
20
    reset : in STD_LOGIC;
21
    locked : out STD_LOGIC;
22
    clk_in1_p : in STD_LOGIC;
23
    clk_in1_n : in STD_LOGIC
24
  );
25
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_gen_clk_wiz;
26
 
27
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_gen_clk_wiz is
28
  signal clk_in1_clk_gen : STD_LOGIC;
29
  signal clk_out1_clk_gen : STD_LOGIC;
30
  signal clkfbout_buf_clk_gen : STD_LOGIC;
31
  signal clkfbout_clk_gen : STD_LOGIC;
32
  signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
33
  signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
34
  signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
35
  signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
36
  signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
37
  signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
38
  signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
39
  signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
40
  signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
41
  signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
42
  signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
43
  signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
44
  signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
45
  signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
46
  signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
47
  signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
48
  attribute BOX_TYPE : string;
49
  attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
50
  attribute BOX_TYPE of clkin1_ibufgds : label is "PRIMITIVE";
51
  attribute CAPACITANCE : string;
52
  attribute CAPACITANCE of clkin1_ibufgds : label is "DONT_CARE";
53
  attribute IBUF_DELAY_VALUE : string;
54
  attribute IBUF_DELAY_VALUE of clkin1_ibufgds : label is "0";
55
  attribute IFD_DELAY_VALUE : string;
56
  attribute IFD_DELAY_VALUE of clkin1_ibufgds : label is "AUTO";
57
  attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
58
  attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE";
59
begin
60
clkf_buf: unisim.vcomponents.BUFG
61
     port map (
62
      I => clkfbout_clk_gen,
63
      O => clkfbout_buf_clk_gen
64
    );
65
clkin1_ibufgds: unisim.vcomponents.IBUFDS
66
    generic map(
67
      IOSTANDARD => "DEFAULT"
68
    )
69
        port map (
70
      I => clk_in1_p,
71
      IB => clk_in1_n,
72
      O => clk_in1_clk_gen
73
    );
74
clkout1_buf: unisim.vcomponents.BUFG
75
     port map (
76
      I => clk_out1_clk_gen,
77
      O => clk_out1
78
    );
79
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
80
    generic map(
81
      BANDWIDTH => "OPTIMIZED",
82
      CLKFBOUT_MULT_F => 5.000000,
83
      CLKFBOUT_PHASE => 0.000000,
84
      CLKFBOUT_USE_FINE_PS => false,
85
      CLKIN1_PERIOD => 5.000000,
86
      CLKIN2_PERIOD => 0.000000,
87
      CLKOUT0_DIVIDE_F => 10.000000,
88
      CLKOUT0_DUTY_CYCLE => 0.500000,
89
      CLKOUT0_PHASE => 0.000000,
90
      CLKOUT0_USE_FINE_PS => false,
91
      CLKOUT1_DIVIDE => 1,
92
      CLKOUT1_DUTY_CYCLE => 0.500000,
93
      CLKOUT1_PHASE => 0.000000,
94
      CLKOUT1_USE_FINE_PS => false,
95
      CLKOUT2_DIVIDE => 1,
96
      CLKOUT2_DUTY_CYCLE => 0.500000,
97
      CLKOUT2_PHASE => 0.000000,
98
      CLKOUT2_USE_FINE_PS => false,
99
      CLKOUT3_DIVIDE => 1,
100
      CLKOUT3_DUTY_CYCLE => 0.500000,
101
      CLKOUT3_PHASE => 0.000000,
102
      CLKOUT3_USE_FINE_PS => false,
103
      CLKOUT4_CASCADE => false,
104
      CLKOUT4_DIVIDE => 1,
105
      CLKOUT4_DUTY_CYCLE => 0.500000,
106
      CLKOUT4_PHASE => 0.000000,
107
      CLKOUT4_USE_FINE_PS => false,
108
      CLKOUT5_DIVIDE => 1,
109
      CLKOUT5_DUTY_CYCLE => 0.500000,
110
      CLKOUT5_PHASE => 0.000000,
111
      CLKOUT5_USE_FINE_PS => false,
112
      CLKOUT6_DIVIDE => 1,
113
      CLKOUT6_DUTY_CYCLE => 0.500000,
114
      CLKOUT6_PHASE => 0.000000,
115
      CLKOUT6_USE_FINE_PS => false,
116
      COMPENSATION => "ZHOLD",
117
      DIVCLK_DIVIDE => 1,
118
      IS_CLKINSEL_INVERTED => '0',
119
      IS_PSEN_INVERTED => '0',
120
      IS_PSINCDEC_INVERTED => '0',
121
      IS_PWRDWN_INVERTED => '0',
122
      IS_RST_INVERTED => '0',
123
      REF_JITTER1 => 0.010000,
124
      REF_JITTER2 => 0.010000,
125
      SS_EN => "FALSE",
126
      SS_MODE => "CENTER_HIGH",
127
      SS_MOD_PERIOD => 10000,
128
      STARTUP_WAIT => false
129
    )
130
        port map (
131
      CLKFBIN => clkfbout_buf_clk_gen,
132
      CLKFBOUT => clkfbout_clk_gen,
133
      CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
134
      CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
135
      CLKIN1 => clk_in1_clk_gen,
136
      CLKIN2 => '0',
137
      CLKINSEL => '1',
138
      CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
139
      CLKOUT0 => clk_out1_clk_gen,
140
      CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
141
      CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
142
      CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
143
      CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
144
      CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
145
      CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
146
      CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
147
      CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
148
      CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
149
      CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
150
      DADDR(6 downto 0) => B"0000000",
151
      DCLK => '0',
152
      DEN => '0',
153
      DI(15 downto 0) => B"0000000000000000",
154
      DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
155
      DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
156
      DWE => '0',
157
      LOCKED => locked,
158
      PSCLK => '0',
159
      PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
160
      PSEN => '0',
161
      PSINCDEC => '0',
162
      PWRDWN => '0',
163
      RST => reset
164
    );
165
end STRUCTURE;
166
library IEEE;
167
use IEEE.STD_LOGIC_1164.ALL;
168
library UNISIM;
169
use UNISIM.VCOMPONENTS.ALL;
170
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
171
  port (
172
    clk_out1 : out STD_LOGIC;
173
    reset : in STD_LOGIC;
174
    locked : out STD_LOGIC;
175
    clk_in1_p : in STD_LOGIC;
176
    clk_in1_n : in STD_LOGIC
177
  );
178
  attribute NotValidForBitStream : boolean;
179
  attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
180
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
181
 
182
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
183
begin
184
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_clk_gen_clk_wiz
185
     port map (
186
      clk_in1_n => clk_in1_n,
187
      clk_in1_p => clk_in1_p,
188
      clk_out1 => clk_out1,
189
      locked => locked,
190
      reset => reset
191
    );
192
end STRUCTURE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.