OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.ip_user_files/] [ip/] [axi_uartlite_module_sim/] [axi_uartlite_module_sim.veo] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
// (c) Copyright 1995-2020 Xilinx, Inc. All rights reserved.
2
//
3
// This file contains confidential and proprietary information
4
// of Xilinx, Inc. and is protected under U.S. and
5
// international copyright and other intellectual property
6
// laws.
7
//
8
// DISCLAIMER
9
// This disclaimer is not a license and does not grant any
10
// rights to the materials distributed herewith. Except as
11
// otherwise provided in a valid license issued to you by
12
// Xilinx, and to the maximum extent permitted by applicable
13
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
14
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
15
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
16
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
17
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
18
// (2) Xilinx shall not be liable (whether in contract or tort,
19
// including negligence, or under any other theory of
20
// liability) for any loss or damage of any kind or nature
21
// related to, arising under or in connection with these
22
// materials, including for any direct, or any indirect,
23
// special, incidental, or consequential loss or damage
24
// (including loss of data, profits, goodwill, or any type of
25
// loss or damage suffered as a result of any action brought
26
// by a third party) even if such damage or loss was
27
// reasonably foreseeable or Xilinx had been advised of the
28
// possibility of the same.
29
//
30
// CRITICAL APPLICATIONS
31
// Xilinx products are not designed or intended to be fail-
32
// safe, or for use in any application requiring fail-safe
33
// performance, such as life-support or safety devices or
34
// systems, Class III medical devices, nuclear facilities,
35
// applications related to the deployment of airbags, or any
36
// other applications that could lead to death, personal
37
// injury, or severe property or environmental damage
38
// (individually and collectively, "Critical
39
// Applications"). Customer assumes the sole risk and
40
// liability of any use of Xilinx products in Critical
41
// Applications, subject only to applicable laws and
42
// regulations governing limitations on product liability.
43
//
44
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
45
// PART OF THIS FILE AT ALL TIMES.
46
//
47
// DO NOT MODIFY THIS FILE.
48
 
49
// IP VLNV: xilinx.com:ip:axi_uartlite:2.0
50
// IP Revision: 19
51
 
52
// The following must be inserted into your Verilog file for this
53
// core to be instantiated. Change the instance name and port connections
54
// (in parentheses) to your own signal names.
55
 
56
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
57
axi_uartlite_module_sim your_instance_name (
58
  .s_axi_aclk(s_axi_aclk),        // input wire s_axi_aclk
59
  .s_axi_aresetn(s_axi_aresetn),  // input wire s_axi_aresetn
60
  .interrupt(interrupt),          // output wire interrupt
61
  .s_axi_awaddr(s_axi_awaddr),    // input wire [3 : 0] s_axi_awaddr
62
  .s_axi_awvalid(s_axi_awvalid),  // input wire s_axi_awvalid
63
  .s_axi_awready(s_axi_awready),  // output wire s_axi_awready
64
  .s_axi_wdata(s_axi_wdata),      // input wire [31 : 0] s_axi_wdata
65
  .s_axi_wstrb(s_axi_wstrb),      // input wire [3 : 0] s_axi_wstrb
66
  .s_axi_wvalid(s_axi_wvalid),    // input wire s_axi_wvalid
67
  .s_axi_wready(s_axi_wready),    // output wire s_axi_wready
68
  .s_axi_bresp(s_axi_bresp),      // output wire [1 : 0] s_axi_bresp
69
  .s_axi_bvalid(s_axi_bvalid),    // output wire s_axi_bvalid
70
  .s_axi_bready(s_axi_bready),    // input wire s_axi_bready
71
  .s_axi_araddr(s_axi_araddr),    // input wire [3 : 0] s_axi_araddr
72
  .s_axi_arvalid(s_axi_arvalid),  // input wire s_axi_arvalid
73
  .s_axi_arready(s_axi_arready),  // output wire s_axi_arready
74
  .s_axi_rdata(s_axi_rdata),      // output wire [31 : 0] s_axi_rdata
75
  .s_axi_rresp(s_axi_rresp),      // output wire [1 : 0] s_axi_rresp
76
  .s_axi_rvalid(s_axi_rvalid),    // output wire s_axi_rvalid
77
  .s_axi_rready(s_axi_rready),    // input wire s_axi_rready
78
  .rx(rx),                        // input wire rx
79
  .tx(tx)                        // output wire tx
80
);
81
// INST_TAG_END ------ End INSTANTIATION Template ---------
82
 
83
// You must compile the wrapper file axi_uartlite_module_sim.v when simulating
84
// the core, axi_uartlite_module_sim. When compiling the wrapper file, be sure to
85
// reference the Verilog simulation library.
86
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.