OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [axi_uartlite_module_synth_1/] [axi_uartlite_module_utilization_synth.rpt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
---------------------------------------------------------------------------------------------------------------------------------
3
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
| Date         : Thu Jul 30 13:02:26 2020
5
| Host         : orme22 running 64-bit Ubuntu 18.04.4 LTS
6
| Command      : report_utilization -file axi_uartlite_module_utilization_synth.rpt -pb axi_uartlite_module_utilization_synth.pb
7
| Design       : axi_uartlite_module
8
| Device       : 7k325tffg900-2
9
| Design State : Synthesized
10
---------------------------------------------------------------------------------------------------------------------------------
11
 
12
Utilization Design Information
13
 
14
Table of Contents
15
-----------------
16
1. Slice Logic
17
1.1 Summary of Registers by Type
18
2. Memory
19
3. DSP
20
4. IO and GT Specific
21
5. Clocking
22
6. Specific Feature
23
7. Primitives
24
8. Black Boxes
25
9. Instantiated Netlists
26
 
27
1. Slice Logic
28
--------------
29
 
30
+----------------------------+------+-------+-----------+-------+
31
|          Site Type         | Used | Fixed | Available | Util% |
32
+----------------------------+------+-------+-----------+-------+
33
| Slice LUTs*                |  108 |     0 |    203800 |  0.05 |
34
|   LUT as Logic             |   90 |     0 |    203800 |  0.04 |
35
|   LUT as Memory            |   18 |     0 |     64000 |  0.03 |
36
|     LUT as Distributed RAM |    0 |     0 |           |       |
37
|     LUT as Shift Register  |   18 |     0 |           |       |
38
| Slice Registers            |   92 |     0 |    407600 |  0.02 |
39
|   Register as Flip Flop    |   92 |     0 |    407600 |  0.02 |
40
|   Register as Latch        |    0 |     0 |    407600 |  0.00 |
41
| F7 Muxes                   |    0 |     0 |    101900 |  0.00 |
42
| F8 Muxes                   |    0 |     0 |     50950 |  0.00 |
43
+----------------------------+------+-------+-----------+-------+
44
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
45
 
46
 
47
1.1 Summary of Registers by Type
48
--------------------------------
49
 
50
+-------+--------------+-------------+--------------+
51
| Total | Clock Enable | Synchronous | Asynchronous |
52
+-------+--------------+-------------+--------------+
53
| 0     |            _ |           - |            - |
54
| 0     |            _ |           - |          Set |
55
| 0     |            _ |           - |        Reset |
56
| 0     |            _ |         Set |            - |
57
| 0     |            _ |       Reset |            - |
58
| 0     |          Yes |           - |            - |
59
| 0     |          Yes |           - |          Set |
60
| 0     |          Yes |           - |        Reset |
61
| 16    |          Yes |         Set |            - |
62
| 76    |          Yes |       Reset |            - |
63
+-------+--------------+-------------+--------------+
64
 
65
 
66
2. Memory
67
---------
68
 
69
+----------------+------+-------+-----------+-------+
70
|    Site Type   | Used | Fixed | Available | Util% |
71
+----------------+------+-------+-----------+-------+
72
| Block RAM Tile |    0 |     0 |       445 |  0.00 |
73
|   RAMB36/FIFO* |    0 |     0 |       445 |  0.00 |
74
|   RAMB18       |    0 |     0 |       890 |  0.00 |
75
+----------------+------+-------+-----------+-------+
76
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
77
 
78
 
79
3. DSP
80
------
81
 
82
+-----------+------+-------+-----------+-------+
83
| Site Type | Used | Fixed | Available | Util% |
84
+-----------+------+-------+-----------+-------+
85
| DSPs      |    0 |     0 |       840 |  0.00 |
86
+-----------+------+-------+-----------+-------+
87
 
88
 
89
4. IO and GT Specific
90
---------------------
91
 
92
+-----------------------------+------+-------+-----------+-------+
93
|          Site Type          | Used | Fixed | Available | Util% |
94
+-----------------------------+------+-------+-----------+-------+
95
| Bonded IOB                  |    0 |     0 |       500 |  0.00 |
96
| Bonded IPADs                |    0 |     0 |        50 |  0.00 |
97
| Bonded OPADs                |    0 |     0 |        32 |  0.00 |
98
| PHY_CONTROL                 |    0 |     0 |        10 |  0.00 |
99
| PHASER_REF                  |    0 |     0 |        10 |  0.00 |
100
| OUT_FIFO                    |    0 |     0 |        40 |  0.00 |
101
| IN_FIFO                     |    0 |     0 |        40 |  0.00 |
102
| IDELAYCTRL                  |    0 |     0 |        10 |  0.00 |
103
| IBUFDS                      |    0 |     0 |       480 |  0.00 |
104
| GTXE2_COMMON                |    0 |     0 |         4 |  0.00 |
105
| GTXE2_CHANNEL               |    0 |     0 |        16 |  0.00 |
106
| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |        40 |  0.00 |
107
| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |        40 |  0.00 |
108
| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |       500 |  0.00 |
109
| ODELAYE2/ODELAYE2_FINEDELAY |    0 |     0 |       150 |  0.00 |
110
| IBUFDS_GTE2                 |    0 |     0 |         8 |  0.00 |
111
| ILOGIC                      |    0 |     0 |       500 |  0.00 |
112
| OLOGIC                      |    0 |     0 |       500 |  0.00 |
113
+-----------------------------+------+-------+-----------+-------+
114
 
115
 
116
5. Clocking
117
-----------
118
 
119
+------------+------+-------+-----------+-------+
120
|  Site Type | Used | Fixed | Available | Util% |
121
+------------+------+-------+-----------+-------+
122
| BUFGCTRL   |    0 |     0 |        32 |  0.00 |
123
| BUFIO      |    0 |     0 |        40 |  0.00 |
124
| MMCME2_ADV |    0 |     0 |        10 |  0.00 |
125
| PLLE2_ADV  |    0 |     0 |        10 |  0.00 |
126
| BUFMRCE    |    0 |     0 |        20 |  0.00 |
127
| BUFHCE     |    0 |     0 |       168 |  0.00 |
128
| BUFR       |    0 |     0 |        40 |  0.00 |
129
+------------+------+-------+-----------+-------+
130
 
131
 
132
6. Specific Feature
133
-------------------
134
 
135
+-------------+------+-------+-----------+-------+
136
|  Site Type  | Used | Fixed | Available | Util% |
137
+-------------+------+-------+-----------+-------+
138
| BSCANE2     |    0 |     0 |         4 |  0.00 |
139
| CAPTUREE2   |    0 |     0 |         1 |  0.00 |
140
| DNA_PORT    |    0 |     0 |         1 |  0.00 |
141
| EFUSE_USR   |    0 |     0 |         1 |  0.00 |
142
| FRAME_ECCE2 |    0 |     0 |         1 |  0.00 |
143
| ICAPE2      |    0 |     0 |         2 |  0.00 |
144
| PCIE_2_1    |    0 |     0 |         1 |  0.00 |
145
| STARTUPE2   |    0 |     0 |         1 |  0.00 |
146
| XADC        |    0 |     0 |         1 |  0.00 |
147
+-------------+------+-------+-----------+-------+
148
 
149
 
150
7. Primitives
151
-------------
152
 
153
+----------+------+---------------------+
154
| Ref Name | Used | Functional Category |
155
+----------+------+---------------------+
156
| FDRE     |   76 |        Flop & Latch |
157
| LUT5     |   44 |                 LUT |
158
| LUT3     |   19 |                 LUT |
159
| SRL16E   |   18 |  Distributed Memory |
160
| LUT6     |   17 |                 LUT |
161
| LUT4     |   17 |                 LUT |
162
| FDSE     |   16 |        Flop & Latch |
163
| LUT2     |   14 |                 LUT |
164
| LUT1     |    1 |                 LUT |
165
+----------+------+---------------------+
166
 
167
 
168
8. Black Boxes
169
--------------
170
 
171
+----------+------+
172
| Ref Name | Used |
173
+----------+------+
174
 
175
 
176
9. Instantiated Netlists
177
------------------------
178
 
179
+----------+------+
180
| Ref Name | Used |
181
+----------+------+
182
 
183
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.