OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [clk_gen_synth_1/] [clk_gen.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
# 
2
# Synthesis run script generated by Vivado
3
# 
4
 
5
proc create_report { reportName command } {
6
  set status "."
7
  append status $reportName ".fail"
8
  if { [file exists $status] } {
9
    eval file delete [glob $status]
10
  }
11
  send_msg_id runtcl-4 info "Executing : $command"
12
  set retval [eval catch { $command } msg]
13
  if { $retval != 0 } {
14
    set fp [open $status w]
15
    close $fp
16
    send_msg_id runtcl-5 warning "$msg"
17
  }
18
}
19
set_param project.vivado.isBlockSynthRun true
20
set_msg_config -msgmgr_mode ooc_run
21
create_project -in_memory -part xc7k325tffg900-2
22
 
23
set_param project.singleFileAddWarning.threshold 0
24
set_param project.compositeFile.enableAutoGeneration 0
25
set_param synth.vivado.isSynthRun true
26
set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info
27
set_property webtalk.parent_dir /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.cache/wt [current_project]
28
set_property parent.project_path /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.xpr [current_project]
29
set_property XPM_LIBRARIES XPM_CDC [current_project]
30
set_property default_lib xil_defaultlib [current_project]
31
set_property target_language Verilog [current_project]
32
set_property board_part xilinx.com:kc705:part0:1.5 [current_project]
33
set_property ip_output_repo /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.cache/ip [current_project]
34
set_property ip_cache_permissions {read write} [current_project]
35
read_ip -quiet /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xci
36
set_property used_in_implementation false [get_files -all /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_board.xdc]
37
set_property used_in_implementation false [get_files -all /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xdc]
38
set_property used_in_implementation false [get_files -all /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_ooc.xdc]
39
 
40
# Mark all dcp files as not used in implementation to prevent them from being
41
# stitched into the results of this synthesis run. Any black boxes in the
42
# design are intentionally left as such for best results. Dcp files will be
43
# stitched into the design at a later time, either when this synthesis run is
44
# opened, or when it is stitched into a dependent implementation run.
45
foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
46
  set_property used_in_implementation false $dcp
47
}
48
read_xdc dont_touch.xdc
49
set_property used_in_implementation false [get_files dont_touch.xdc]
50
 
51
set cached_ip [config_ip_cache -export -no_bom -use_project_ipc -dir /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1 -new_name clk_gen -ip [get_ips clk_gen]]
52
 
53
if { $cached_ip eq {} } {
54
 
55
synth_design -top clk_gen -part xc7k325tffg900-2 -mode out_of_context
56
 
57
#---------------------------------------------------------
58
# Generate Checkpoint/Stub/Simulation Files For IP Cache
59
#---------------------------------------------------------
60
# disable binary constraint mode for IPCache checkpoints
61
set_param constraints.enableBinaryConstraints false
62
 
63
catch {
64
 write_checkpoint -force -noxdef -rename_prefix clk_gen_ clk_gen.dcp
65
 
66
 set ipCachedFiles {}
67
 write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_gen_stub.v
68
 lappend ipCachedFiles clk_gen_stub.v
69
 
70
 write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_gen_stub.vhdl
71
 lappend ipCachedFiles clk_gen_stub.vhdl
72
 
73
 write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_gen_sim_netlist.v
74
 lappend ipCachedFiles clk_gen_sim_netlist.v
75
 
76
 write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ clk_gen_sim_netlist.vhdl
77
 lappend ipCachedFiles clk_gen_sim_netlist.vhdl
78
 
79
 config_ip_cache -add -dcp clk_gen.dcp -move_files $ipCachedFiles -use_project_ipc -ip [get_ips clk_gen]
80
}
81
 
82
rename_ref -prefix_all clk_gen_
83
 
84
# disable binary constraint mode for synth run checkpoints
85
set_param constraints.enableBinaryConstraints false
86
write_checkpoint -force -noxdef clk_gen.dcp
87
create_report "clk_gen_synth_1_synth_report_utilization_0" "report_utilization -file clk_gen_utilization_synth.rpt -pb clk_gen_utilization_synth.pb"
88
 
89
if { [catch {
90
  file copy -force /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen.dcp /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.dcp
91
} _RESULT ] } {
92
  send_msg_id runtcl-3 error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
93
  error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
94
}
95
 
96
if { [catch {
97
  write_verilog -force -mode synth_stub /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_stub.v
98
} _RESULT ] } {
99
  puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
100
}
101
 
102
if { [catch {
103
  write_vhdl -force -mode synth_stub /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_stub.vhdl
104
} _RESULT ] } {
105
  puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
106
}
107
 
108
if { [catch {
109
  write_verilog -force -mode funcsim /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_sim_netlist.v
110
} _RESULT ] } {
111
  puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
112
}
113
 
114
if { [catch {
115
  write_vhdl -force -mode funcsim /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_sim_netlist.vhdl
116
} _RESULT ] } {
117
  puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
118
}
119
 
120
 
121
} else {
122
 
123
 
124
if { [catch {
125
  file copy -force /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen.dcp /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.dcp
126
} _RESULT ] } {
127
  send_msg_id runtcl-3 error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
128
  error "ERROR: Unable to successfully create or copy the sub-design checkpoint file."
129
}
130
 
131
if { [catch {
132
  file rename -force /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen_stub.v /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_stub.v
133
} _RESULT ] } {
134
  puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
135
}
136
 
137
if { [catch {
138
  file rename -force /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen_stub.vhdl /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_stub.vhdl
139
} _RESULT ] } {
140
  puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT"
141
}
142
 
143
if { [catch {
144
  file rename -force /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen_sim_netlist.v /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_sim_netlist.v
145
} _RESULT ] } {
146
  puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
147
}
148
 
149
if { [catch {
150
  file rename -force /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen_sim_netlist.vhdl /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_sim_netlist.vhdl
151
} _RESULT ] } {
152
  puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT"
153
}
154
 
155
}; # end if cached_ip 
156
 
157
if {[file isdir /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.ip_user_files/ip/clk_gen]} {
158
  catch {
159
    file copy -force /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_stub.v /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.ip_user_files/ip/clk_gen
160
  }
161
}
162
 
163
if {[file isdir /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.ip_user_files/ip/clk_gen]} {
164
  catch {
165
    file copy -force /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_stub.vhdl /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.ip_user_files/ip/clk_gen
166
  }
167
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.