OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [clk_gen_synth_1/] [clk_gen.vds] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
#-----------------------------------------------------------
2
# Vivado v2017.4 (64-bit)
3
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
4
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
5
# Start of session at: Thu Jul 30 13:01:29 2020
6
# Process ID: 6007
7
# Current directory: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1
8
# Command line: vivado -log clk_gen.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_gen.tcl
9
# Log file: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen.vds
10
# Journal file: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/vivado.jou
11
#-----------------------------------------------------------
12
source clk_gen.tcl -notrace
13
Command: synth_design -top clk_gen -part xc7k325tffg900-2 -mode out_of_context
14
Starting synth_design
15
Attempting to get a license for feature 'Synthesis' and/or device 'xc7k325t'
16
INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k325t'
17
INFO: Launching helper process for spawning children vivado processes
18
INFO: Helper process launched with PID 6028
19
---------------------------------------------------------------------------------
20
Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1275.145 ; gain = 83.000 ; free physical = 1593 ; free virtual = 7772
21
---------------------------------------------------------------------------------
22
INFO: [Synth 8-638] synthesizing module 'clk_gen' [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.v:70]
23
INFO: [Synth 8-638] synthesizing module 'clk_gen_clk_wiz' [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_clk_wiz.v:68]
24
INFO: [Synth 8-638] synthesizing module 'IBUFDS' [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:19483]
25
        Parameter CAPACITANCE bound to: DONT_CARE - type: string
26
        Parameter DIFF_TERM bound to: FALSE - type: string
27
        Parameter DQS_BIAS bound to: FALSE - type: string
28
        Parameter IBUF_DELAY_VALUE bound to: 0 - type: string
29
        Parameter IBUF_LOW_PWR bound to: TRUE - type: string
30
        Parameter IFD_DELAY_VALUE bound to: AUTO - type: string
31
        Parameter IOSTANDARD bound to: DEFAULT - type: string
32
INFO: [Synth 8-256] done synthesizing module 'IBUFDS' (1#1) [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:19483]
33
INFO: [Synth 8-638] synthesizing module 'MMCME2_ADV' [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:25757]
34
        Parameter BANDWIDTH bound to: OPTIMIZED - type: string
35
        Parameter CLKFBOUT_MULT_F bound to: 5.000000 - type: float
36
        Parameter CLKFBOUT_PHASE bound to: 0.000000 - type: float
37
        Parameter CLKFBOUT_USE_FINE_PS bound to: FALSE - type: string
38
        Parameter CLKIN1_PERIOD bound to: 5.000000 - type: float
39
        Parameter CLKIN2_PERIOD bound to: 0.000000 - type: float
40
        Parameter CLKOUT0_DIVIDE_F bound to: 10.000000 - type: float
41
        Parameter CLKOUT0_DUTY_CYCLE bound to: 0.500000 - type: float
42
        Parameter CLKOUT0_PHASE bound to: 0.000000 - type: float
43
        Parameter CLKOUT0_USE_FINE_PS bound to: FALSE - type: string
44
        Parameter CLKOUT1_DIVIDE bound to: 1 - type: integer
45
        Parameter CLKOUT1_DUTY_CYCLE bound to: 0.500000 - type: float
46
        Parameter CLKOUT1_PHASE bound to: 0.000000 - type: float
47
        Parameter CLKOUT1_USE_FINE_PS bound to: FALSE - type: string
48
        Parameter CLKOUT2_DIVIDE bound to: 1 - type: integer
49
        Parameter CLKOUT2_DUTY_CYCLE bound to: 0.500000 - type: float
50
        Parameter CLKOUT2_PHASE bound to: 0.000000 - type: float
51
        Parameter CLKOUT2_USE_FINE_PS bound to: FALSE - type: string
52
        Parameter CLKOUT3_DIVIDE bound to: 1 - type: integer
53
        Parameter CLKOUT3_DUTY_CYCLE bound to: 0.500000 - type: float
54
        Parameter CLKOUT3_PHASE bound to: 0.000000 - type: float
55
        Parameter CLKOUT3_USE_FINE_PS bound to: FALSE - type: string
56
        Parameter CLKOUT4_CASCADE bound to: FALSE - type: string
57
        Parameter CLKOUT4_DIVIDE bound to: 1 - type: integer
58
        Parameter CLKOUT4_DUTY_CYCLE bound to: 0.500000 - type: float
59
        Parameter CLKOUT4_PHASE bound to: 0.000000 - type: float
60
        Parameter CLKOUT4_USE_FINE_PS bound to: FALSE - type: string
61
        Parameter CLKOUT5_DIVIDE bound to: 1 - type: integer
62
        Parameter CLKOUT5_DUTY_CYCLE bound to: 0.500000 - type: float
63
        Parameter CLKOUT5_PHASE bound to: 0.000000 - type: float
64
        Parameter CLKOUT5_USE_FINE_PS bound to: FALSE - type: string
65
        Parameter CLKOUT6_DIVIDE bound to: 1 - type: integer
66
        Parameter CLKOUT6_DUTY_CYCLE bound to: 0.500000 - type: float
67
        Parameter CLKOUT6_PHASE bound to: 0.000000 - type: float
68
        Parameter CLKOUT6_USE_FINE_PS bound to: FALSE - type: string
69
        Parameter COMPENSATION bound to: ZHOLD - type: string
70
        Parameter DIVCLK_DIVIDE bound to: 1 - type: integer
71
        Parameter IS_CLKINSEL_INVERTED bound to: 1'b0
72
        Parameter IS_PSEN_INVERTED bound to: 1'b0
73
        Parameter IS_PSINCDEC_INVERTED bound to: 1'b0
74
        Parameter IS_PWRDWN_INVERTED bound to: 1'b0
75
        Parameter IS_RST_INVERTED bound to: 1'b0
76
        Parameter REF_JITTER1 bound to: 0.010000 - type: float
77
        Parameter REF_JITTER2 bound to: 0.010000 - type: float
78
        Parameter SS_EN bound to: FALSE - type: string
79
        Parameter SS_MODE bound to: CENTER_HIGH - type: string
80
        Parameter SS_MOD_PERIOD bound to: 10000 - type: integer
81
        Parameter STARTUP_WAIT bound to: FALSE - type: string
82
INFO: [Synth 8-256] done synthesizing module 'MMCME2_ADV' (2#1) [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:25757]
83
INFO: [Synth 8-638] synthesizing module 'BUFG' [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:607]
84
INFO: [Synth 8-256] done synthesizing module 'BUFG' (3#1) [/opt/Xilinx/Vivado/2017.4/scripts/rt/data/unisim_comp.v:607]
85
INFO: [Synth 8-256] done synthesizing module 'clk_gen_clk_wiz' (4#1) [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_clk_wiz.v:68]
86
INFO: [Synth 8-256] done synthesizing module 'clk_gen' (5#1) [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.v:70]
87
---------------------------------------------------------------------------------
88
Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1316.676 ; gain = 124.531 ; free physical = 1563 ; free virtual = 7744
89
---------------------------------------------------------------------------------
90
 
91
Report Check Netlist:
92
+------+------------------+-------+---------+-------+------------------+
93
|      |Item              |Errors |Warnings |Status |Description       |
94
+------+------------------+-------+---------+-------+------------------+
95
|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
96
+------+------------------+-------+---------+-------+------------------+
97
---------------------------------------------------------------------------------
98
Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 1316.676 ; gain = 124.531 ; free physical = 1561 ; free virtual = 7742
99
---------------------------------------------------------------------------------
100
INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement
101
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
102
INFO: [Device 21-403] Loading part xc7k325tffg900-2
103
INFO: [Project 1-570] Preparing netlist for logic optimization
104
 
105
Processing XDC Constraints
106
Initializing timing engine
107
Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_ooc.xdc] for cell 'inst'
108
Finished Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_ooc.xdc] for cell 'inst'
109
Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_board.xdc] for cell 'inst'
110
Finished Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_board.xdc] for cell 'inst'
111
Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xdc] for cell 'inst'
112
Finished Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xdc] for cell 'inst'
113
INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/clk_gen_propImpl.xdc].
114
Resolution: To avoid this warning, move constraints listed in [.Xil/clk_gen_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
115
INFO: [Timing 38-2] Deriving generated clocks
116
Parsing XDC File [/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/dont_touch.xdc]
117
Finished Parsing XDC File [/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/dont_touch.xdc]
118
Completed Processing XDC Constraints
119
 
120
INFO: [Project 1-111] Unisim Transformation Summary:
121
No Unisim elements were transformed.
122
 
123
Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1604.902 ; gain = 0.000 ; free physical = 1018 ; free virtual = 7240
124
---------------------------------------------------------------------------------
125
Finished Constraint Validation : Time (s): cpu = 00:00:24 ; elapsed = 00:00:37 . Memory (MB): peak = 1604.902 ; gain = 412.758 ; free physical = 1139 ; free virtual = 7362
126
---------------------------------------------------------------------------------
127
---------------------------------------------------------------------------------
128
Start Loading Part and Timing Information
129
---------------------------------------------------------------------------------
130
Loading part: xc7k325tffg900-2
131
---------------------------------------------------------------------------------
132
Finished Loading Part and Timing Information : Time (s): cpu = 00:00:24 ; elapsed = 00:00:37 . Memory (MB): peak = 1604.902 ; gain = 412.758 ; free physical = 1140 ; free virtual = 7362
133
---------------------------------------------------------------------------------
134
---------------------------------------------------------------------------------
135
Start Applying 'set_property' XDC Constraints
136
---------------------------------------------------------------------------------
137
Applied set_property DONT_TOUCH = true for inst. (constraint file  /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/dont_touch.xdc, line 9).
138
---------------------------------------------------------------------------------
139
Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:24 ; elapsed = 00:00:37 . Memory (MB): peak = 1604.902 ; gain = 412.758 ; free physical = 1144 ; free virtual = 7366
140
---------------------------------------------------------------------------------
141
---------------------------------------------------------------------------------
142
Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:24 ; elapsed = 00:00:37 . Memory (MB): peak = 1604.902 ; gain = 412.758 ; free physical = 1143 ; free virtual = 7365
143
---------------------------------------------------------------------------------
144
 
145
Report RTL Partitions:
146
+-+--------------+------------+----------+
147
| |RTL Partition |Replication |Instances |
148
+-+--------------+------------+----------+
149
+-+--------------+------------+----------+
150
---------------------------------------------------------------------------------
151
Start RTL Component Statistics
152
---------------------------------------------------------------------------------
153
Detailed RTL Component Info :
154
---------------------------------------------------------------------------------
155
Finished RTL Component Statistics
156
---------------------------------------------------------------------------------
157
---------------------------------------------------------------------------------
158
Start RTL Hierarchical Component Statistics
159
---------------------------------------------------------------------------------
160
Hierarchical RTL Component report
161
---------------------------------------------------------------------------------
162
Finished RTL Hierarchical Component Statistics
163
---------------------------------------------------------------------------------
164
---------------------------------------------------------------------------------
165
Start Part Resource Summary
166
---------------------------------------------------------------------------------
167
Part Resources:
168
DSPs: 840 (col length:140)
169
BRAMs: 890 (col length: RAMB18 140 RAMB36 70)
170
---------------------------------------------------------------------------------
171
Finished Part Resource Summary
172
---------------------------------------------------------------------------------
173
---------------------------------------------------------------------------------
174
Start Cross Boundary and Area Optimization
175
---------------------------------------------------------------------------------
176
---------------------------------------------------------------------------------
177
Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:24 ; elapsed = 00:00:37 . Memory (MB): peak = 1604.902 ; gain = 412.758 ; free physical = 1142 ; free virtual = 7365
178
---------------------------------------------------------------------------------
179
 
180
Report RTL Partitions:
181
+-+--------------+------------+----------+
182
| |RTL Partition |Replication |Instances |
183
+-+--------------+------------+----------+
184
+-+--------------+------------+----------+
185
---------------------------------------------------------------------------------
186
Start Applying XDC Timing Constraints
187
---------------------------------------------------------------------------------
188
---------------------------------------------------------------------------------
189
Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1634.902 ; gain = 442.758 ; free physical = 909 ; free virtual = 7140
190
---------------------------------------------------------------------------------
191
---------------------------------------------------------------------------------
192
Start Timing Optimization
193
---------------------------------------------------------------------------------
194
---------------------------------------------------------------------------------
195
Finished Timing Optimization : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1634.902 ; gain = 442.758 ; free physical = 909 ; free virtual = 7140
196
---------------------------------------------------------------------------------
197
 
198
Report RTL Partitions:
199
+-+--------------+------------+----------+
200
| |RTL Partition |Replication |Instances |
201
+-+--------------+------------+----------+
202
+-+--------------+------------+----------+
203
---------------------------------------------------------------------------------
204
Start Technology Mapping
205
---------------------------------------------------------------------------------
206
---------------------------------------------------------------------------------
207
Finished Technology Mapping : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1644.918 ; gain = 452.773 ; free physical = 909 ; free virtual = 7140
208
---------------------------------------------------------------------------------
209
 
210
Report RTL Partitions:
211
+-+--------------+------------+----------+
212
| |RTL Partition |Replication |Instances |
213
+-+--------------+------------+----------+
214
+-+--------------+------------+----------+
215
---------------------------------------------------------------------------------
216
Start IO Insertion
217
---------------------------------------------------------------------------------
218
---------------------------------------------------------------------------------
219
Start Flattening Before IO Insertion
220
---------------------------------------------------------------------------------
221
---------------------------------------------------------------------------------
222
Finished Flattening Before IO Insertion
223
---------------------------------------------------------------------------------
224
---------------------------------------------------------------------------------
225
Start Final Netlist Cleanup
226
---------------------------------------------------------------------------------
227
---------------------------------------------------------------------------------
228
Finished Final Netlist Cleanup
229
---------------------------------------------------------------------------------
230
---------------------------------------------------------------------------------
231
Finished IO Insertion : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1644.918 ; gain = 452.773 ; free physical = 871 ; free virtual = 7103
232
---------------------------------------------------------------------------------
233
 
234
Report Check Netlist:
235
+------+------------------+-------+---------+-------+------------------+
236
|      |Item              |Errors |Warnings |Status |Description       |
237
+------+------------------+-------+---------+-------+------------------+
238
|1     |multi_driven_nets |      0|        0|Passed |Multi driven nets |
239
+------+------------------+-------+---------+-------+------------------+
240
---------------------------------------------------------------------------------
241
Start Renaming Generated Instances
242
---------------------------------------------------------------------------------
243
---------------------------------------------------------------------------------
244
Finished Renaming Generated Instances : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1644.918 ; gain = 452.773 ; free physical = 871 ; free virtual = 7103
245
---------------------------------------------------------------------------------
246
 
247
Report RTL Partitions:
248
+-+--------------+------------+----------+
249
| |RTL Partition |Replication |Instances |
250
+-+--------------+------------+----------+
251
+-+--------------+------------+----------+
252
---------------------------------------------------------------------------------
253
Start Rebuilding User Hierarchy
254
---------------------------------------------------------------------------------
255
---------------------------------------------------------------------------------
256
Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1644.918 ; gain = 452.773 ; free physical = 871 ; free virtual = 7103
257
---------------------------------------------------------------------------------
258
---------------------------------------------------------------------------------
259
Start Renaming Generated Ports
260
---------------------------------------------------------------------------------
261
---------------------------------------------------------------------------------
262
Finished Renaming Generated Ports : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1644.918 ; gain = 452.773 ; free physical = 871 ; free virtual = 7103
263
---------------------------------------------------------------------------------
264
---------------------------------------------------------------------------------
265
Start Handling Custom Attributes
266
---------------------------------------------------------------------------------
267
---------------------------------------------------------------------------------
268
Finished Handling Custom Attributes : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1644.918 ; gain = 452.773 ; free physical = 871 ; free virtual = 7103
269
---------------------------------------------------------------------------------
270
---------------------------------------------------------------------------------
271
Start Renaming Generated Nets
272
---------------------------------------------------------------------------------
273
---------------------------------------------------------------------------------
274
Finished Renaming Generated Nets : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1644.918 ; gain = 452.773 ; free physical = 871 ; free virtual = 7103
275
---------------------------------------------------------------------------------
276
---------------------------------------------------------------------------------
277
Start Writing Synthesis Report
278
---------------------------------------------------------------------------------
279
 
280
Report BlackBoxes:
281
+-+--------------+----------+
282
| |BlackBox name |Instances |
283
+-+--------------+----------+
284
+-+--------------+----------+
285
 
286
Report Cell Usage:
287
+------+-----------+------+
288
|      |Cell       |Count |
289
+------+-----------+------+
290
|1     |BUFG       |     2|
291
|2     |MMCME2_ADV |     1|
292
|3     |IBUFDS     |     1|
293
+------+-----------+------+
294
 
295
Report Instance Areas:
296
+------+---------+----------------+------+
297
|      |Instance |Module          |Cells |
298
+------+---------+----------------+------+
299
|1     |top      |                |     4|
300
|2     |  inst   |clk_gen_clk_wiz |     4|
301
+------+---------+----------------+------+
302
---------------------------------------------------------------------------------
303
Finished Writing Synthesis Report : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1644.918 ; gain = 452.773 ; free physical = 871 ; free virtual = 7103
304
---------------------------------------------------------------------------------
305
Synthesis finished with 0 errors, 0 critical warnings and 0 warnings.
306
Synthesis Optimization Runtime : Time (s): cpu = 00:00:21 ; elapsed = 00:00:24 . Memory (MB): peak = 1644.918 ; gain = 164.547 ; free physical = 919 ; free virtual = 7150
307
Synthesis Optimization Complete : Time (s): cpu = 00:00:30 ; elapsed = 00:00:45 . Memory (MB): peak = 1644.926 ; gain = 452.773 ; free physical = 918 ; free virtual = 7150
308
INFO: [Project 1-571] Translating synthesized netlist
309
INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement
310
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
311
INFO: [Project 1-570] Preparing netlist for logic optimization
312
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
313
INFO: [Project 1-111] Unisim Transformation Summary:
314
No Unisim elements were transformed.
315
 
316
INFO: [Common 17-83] Releasing license: Synthesis
317
25 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
318
synth_design completed successfully
319
synth_design: Time (s): cpu = 00:00:31 ; elapsed = 00:00:46 . Memory (MB): peak = 1654.918 ; gain = 489.875 ; free physical = 879 ; free virtual = 7111
320
INFO: [Common 17-1381] The checkpoint '/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen.dcp' has been generated.
321
INFO: [Coretcl 2-1482] Added synthesis output to IP cache for IP /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xci
322
INFO: [Common 17-1381] The checkpoint '/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen.dcp' has been generated.
323
INFO: [runtcl-4] Executing : report_utilization -file clk_gen_utilization_synth.rpt -pb clk_gen_utilization_synth.pb
324
report_utilization: Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.22 . Memory (MB): peak = 1658.918 ; gain = 0.000 ; free physical = 926 ; free virtual = 7158
325
INFO: [Common 17-206] Exiting Vivado at Thu Jul 30 13:02:25 2020...

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.