OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [clk_gen_synth_1/] [clk_gen_utilization_synth.rpt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
---------------------------------------------------------------------------------------------------------
3
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
| Date         : Thu Jul 30 13:02:24 2020
5
| Host         : orme22 running 64-bit Ubuntu 18.04.4 LTS
6
| Command      : report_utilization -file clk_gen_utilization_synth.rpt -pb clk_gen_utilization_synth.pb
7
| Design       : clk_gen
8
| Device       : 7k325tffg900-2
9
| Design State : Synthesized
10
---------------------------------------------------------------------------------------------------------
11
 
12
Utilization Design Information
13
 
14
Table of Contents
15
-----------------
16
1. Slice Logic
17
1.1 Summary of Registers by Type
18
2. Memory
19
3. DSP
20
4. IO and GT Specific
21
5. Clocking
22
6. Specific Feature
23
7. Primitives
24
8. Black Boxes
25
9. Instantiated Netlists
26
 
27
1. Slice Logic
28
--------------
29
 
30
+-------------------------+------+-------+-----------+-------+
31
|        Site Type        | Used | Fixed | Available | Util% |
32
+-------------------------+------+-------+-----------+-------+
33
| Slice LUTs*             |    0 |     0 |    203800 |  0.00 |
34
|   LUT as Logic          |    0 |     0 |    203800 |  0.00 |
35
|   LUT as Memory         |    0 |     0 |     64000 |  0.00 |
36
| Slice Registers         |    0 |     0 |    407600 |  0.00 |
37
|   Register as Flip Flop |    0 |     0 |    407600 |  0.00 |
38
|   Register as Latch     |    0 |     0 |    407600 |  0.00 |
39
| F7 Muxes                |    0 |     0 |    101900 |  0.00 |
40
| F8 Muxes                |    0 |     0 |     50950 |  0.00 |
41
+-------------------------+------+-------+-----------+-------+
42
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
43
 
44
 
45
1.1 Summary of Registers by Type
46
--------------------------------
47
 
48
+-------+--------------+-------------+--------------+
49
| Total | Clock Enable | Synchronous | Asynchronous |
50
+-------+--------------+-------------+--------------+
51
| 0     |            _ |           - |            - |
52
| 0     |            _ |           - |          Set |
53
| 0     |            _ |           - |        Reset |
54
| 0     |            _ |         Set |            - |
55
| 0     |            _ |       Reset |            - |
56
| 0     |          Yes |           - |            - |
57
| 0     |          Yes |           - |          Set |
58
| 0     |          Yes |           - |        Reset |
59
| 0     |          Yes |         Set |            - |
60
| 0     |          Yes |       Reset |            - |
61
+-------+--------------+-------------+--------------+
62
 
63
 
64
2. Memory
65
---------
66
 
67
+----------------+------+-------+-----------+-------+
68
|    Site Type   | Used | Fixed | Available | Util% |
69
+----------------+------+-------+-----------+-------+
70
| Block RAM Tile |    0 |     0 |       445 |  0.00 |
71
|   RAMB36/FIFO* |    0 |     0 |       445 |  0.00 |
72
|   RAMB18       |    0 |     0 |       890 |  0.00 |
73
+----------------+------+-------+-----------+-------+
74
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
75
 
76
 
77
3. DSP
78
------
79
 
80
+-----------+------+-------+-----------+-------+
81
| Site Type | Used | Fixed | Available | Util% |
82
+-----------+------+-------+-----------+-------+
83
| DSPs      |    0 |     0 |       840 |  0.00 |
84
+-----------+------+-------+-----------+-------+
85
 
86
 
87
4. IO and GT Specific
88
---------------------
89
 
90
+-----------------------------+------+-------+-----------+-------+
91
|          Site Type          | Used | Fixed | Available | Util% |
92
+-----------------------------+------+-------+-----------+-------+
93
| Bonded IOB                  |    2 |     0 |       500 |  0.40 |
94
| Bonded IPADs                |    0 |     0 |        50 |  0.00 |
95
| Bonded OPADs                |    0 |     0 |        32 |  0.00 |
96
| PHY_CONTROL                 |    0 |     0 |        10 |  0.00 |
97
| PHASER_REF                  |    0 |     0 |        10 |  0.00 |
98
| OUT_FIFO                    |    0 |     0 |        40 |  0.00 |
99
| IN_FIFO                     |    0 |     0 |        40 |  0.00 |
100
| IDELAYCTRL                  |    0 |     0 |        10 |  0.00 |
101
| IBUFDS                      |    1 |     0 |       480 |  0.21 |
102
| GTXE2_COMMON                |    0 |     0 |         4 |  0.00 |
103
| GTXE2_CHANNEL               |    0 |     0 |        16 |  0.00 |
104
| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |        40 |  0.00 |
105
| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |        40 |  0.00 |
106
| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |       500 |  0.00 |
107
| ODELAYE2/ODELAYE2_FINEDELAY |    0 |     0 |       150 |  0.00 |
108
| IBUFDS_GTE2                 |    0 |     0 |         8 |  0.00 |
109
| ILOGIC                      |    0 |     0 |       500 |  0.00 |
110
| OLOGIC                      |    0 |     0 |       500 |  0.00 |
111
+-----------------------------+------+-------+-----------+-------+
112
 
113
 
114
5. Clocking
115
-----------
116
 
117
+------------+------+-------+-----------+-------+
118
|  Site Type | Used | Fixed | Available | Util% |
119
+------------+------+-------+-----------+-------+
120
| BUFGCTRL   |    2 |     0 |        32 |  6.25 |
121
| BUFIO      |    0 |     0 |        40 |  0.00 |
122
| MMCME2_ADV |    1 |     0 |        10 | 10.00 |
123
| PLLE2_ADV  |    0 |     0 |        10 |  0.00 |
124
| BUFMRCE    |    0 |     0 |        20 |  0.00 |
125
| BUFHCE     |    0 |     0 |       168 |  0.00 |
126
| BUFR       |    0 |     0 |        40 |  0.00 |
127
+------------+------+-------+-----------+-------+
128
 
129
 
130
6. Specific Feature
131
-------------------
132
 
133
+-------------+------+-------+-----------+-------+
134
|  Site Type  | Used | Fixed | Available | Util% |
135
+-------------+------+-------+-----------+-------+
136
| BSCANE2     |    0 |     0 |         4 |  0.00 |
137
| CAPTUREE2   |    0 |     0 |         1 |  0.00 |
138
| DNA_PORT    |    0 |     0 |         1 |  0.00 |
139
| EFUSE_USR   |    0 |     0 |         1 |  0.00 |
140
| FRAME_ECCE2 |    0 |     0 |         1 |  0.00 |
141
| ICAPE2      |    0 |     0 |         2 |  0.00 |
142
| PCIE_2_1    |    0 |     0 |         1 |  0.00 |
143
| STARTUPE2   |    0 |     0 |         1 |  0.00 |
144
| XADC        |    0 |     0 |         1 |  0.00 |
145
+-------------+------+-------+-----------+-------+
146
 
147
 
148
7. Primitives
149
-------------
150
 
151
+------------+------+---------------------+
152
|  Ref Name  | Used | Functional Category |
153
+------------+------+---------------------+
154
| BUFG       |    2 |               Clock |
155
| MMCME2_ADV |    1 |               Clock |
156
| IBUFDS     |    1 |                  IO |
157
+------------+------+---------------------+
158
 
159
 
160
8. Black Boxes
161
--------------
162
 
163
+----------+------+
164
| Ref Name | Used |
165
+----------+------+
166
 
167
 
168
9. Instantiated Netlists
169
------------------------
170
 
171
+----------+------+
172
| Ref Name | Used |
173
+----------+------+
174
 
175
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.