OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [impl_1/] [aes128_ecb_fpga_wrap_methodology_drc_routed.rpt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
3
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
| Date         : Thu Jul 30 13:56:11 2020
5
| Host         : orme22 running 64-bit Ubuntu 18.04.4 LTS
6
| Command      : report_methodology -file aes128_ecb_fpga_wrap_methodology_drc_routed.rpt -pb aes128_ecb_fpga_wrap_methodology_drc_routed.pb -rpx aes128_ecb_fpga_wrap_methodology_drc_routed.rpx
7
| Design       : aes128_ecb_fpga_wrap
8
| Device       : xc7k325tffg900-2
9
| Speed File   : -2
10
| Design State : Routed
11
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
12
 
13
Report Methodology
14
 
15
Table of Contents
16
-----------------
17
1. REPORT SUMMARY
18
2. REPORT DETAILS
19
 
20
1. REPORT SUMMARY
21
-----------------
22
            Netlist: netlist
23
          Floorplan: design_1
24
      Design limits: 
25
             Max violations: 
26
             Violations found: 7
27
+-----------+----------+-------------------------------------------------------------+------------+
28
| Rule      | Severity | Description                                                 | Violations |
29
+-----------+----------+-------------------------------------------------------------+------------+
30
| TIMING-20 | Warning  | Non-clocked latch                                           | 4          |
31
| TIMING-30 | Warning  | Sub-optimal master source pin selection for generated clock | 1          |
32
| XDCH-2    | Warning  | Same min and max delay values on IO port                    | 2          |
33
+-----------+----------+-------------------------------------------------------------+------------+
34
 
35
2. REPORT DETAILS
36
-----------------
37
TIMING-20#1 Warning
38
Non-clocked latch
39
The latch sys_mngr/axi_state_reg[0]_LDC cannot be properly analyzed as its control pin sys_mngr/axi_state_reg[0]_LDC/G is not reached by a timing clock
40
Related violations: 
41
 
42
TIMING-20#2 Warning
43
Non-clocked latch
44
The latch sys_mngr/axi_state_reg[10]_LDC cannot be properly analyzed as its control pin sys_mngr/axi_state_reg[10]_LDC/G is not reached by a timing clock
45
Related violations: 
46
 
47
TIMING-20#3 Warning
48
Non-clocked latch
49
The latch sys_mngr/axi_state_reg[1]_LDC cannot be properly analyzed as its control pin sys_mngr/axi_state_reg[1]_LDC/G is not reached by a timing clock
50
Related violations: 
51
 
52
TIMING-20#4 Warning
53
Non-clocked latch
54
The latch sys_mngr/axi_state_reg[2]_LDC cannot be properly analyzed as its control pin sys_mngr/axi_state_reg[2]_LDC/G is not reached by a timing clock
55
Related violations: 
56
 
57
TIMING-30#1 Warning
58
Sub-optimal master source pin selection for generated clock
59
The generated clock clk_gen has a sub-optimal master source pin selection, timing can be pessimistic
60
Related violations: 
61
 
62
XDCH-2#1 Warning
63
Same min and max delay values on IO port
64
The same input delay of 1.000 ns has been defined on port 'uart_rx' relative to clock clk_gen for both max and min. Make sure this reflects the design intent.
65
set_input_delay -clock [get_clocks clk_gen] 1.000 [get_ports uart_rx]
66
/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/constrs_1/new/timings.xdc (Line: 13)
67
Related violations: 
68
 
69
XDCH-2#2 Warning
70
Same min and max delay values on IO port
71
The same output delay of 1.000 ns has been defined on port 'uart_tx' relative to clock clk_gen for both max and min. Make sure this reflects the design intent.
72
set_output_delay -clock [get_clocks clk_gen] 1.000 [get_ports uart_tx]
73
/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/constrs_1/new/timings.xdc (Line: 14)
74
Related violations: 
75
 
76
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.