OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [impl_1/] [aes128_ecb_fpga_wrap_utilization_placed.rpt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
-------------------------------------------------------------------------------------------------------------------------------------
3
| Tool Version : Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
| Date         : Thu Jul 30 13:55:42 2020
5
| Host         : orme22 running 64-bit Ubuntu 18.04.4 LTS
6
| Command      : report_utilization -file aes128_ecb_fpga_wrap_utilization_placed.rpt -pb aes128_ecb_fpga_wrap_utilization_placed.pb
7
| Design       : aes128_ecb_fpga_wrap
8
| Device       : 7k325tffg900-2
9
| Design State : Fully Placed
10
-------------------------------------------------------------------------------------------------------------------------------------
11
 
12
Utilization Design Information
13
 
14
Table of Contents
15
-----------------
16
1. Slice Logic
17
1.1 Summary of Registers by Type
18
2. Slice Logic Distribution
19
3. Memory
20
4. DSP
21
5. IO and GT Specific
22
6. Clocking
23
7. Specific Feature
24
8. Primitives
25
9. Black Boxes
26
10. Instantiated Netlists
27
 
28
1. Slice Logic
29
--------------
30
 
31
+----------------------------+------+-------+-----------+-------+
32
|          Site Type         | Used | Fixed | Available | Util% |
33
+----------------------------+------+-------+-----------+-------+
34
| Slice LUTs                 | 2830 |     0 |    203800 |  1.39 |
35
|   LUT as Logic             | 2820 |     0 |    203800 |  1.38 |
36
|   LUT as Memory            |   10 |     0 |     64000 |  0.02 |
37
|     LUT as Distributed RAM |    0 |     0 |           |       |
38
|     LUT as Shift Register  |   10 |     0 |           |       |
39
| Slice Registers            |  968 |     0 |    407600 |  0.24 |
40
|   Register as Flip Flop    |  964 |     0 |    407600 |  0.24 |
41
|   Register as Latch        |    4 |     0 |    407600 | <0.01 |
42
| F7 Muxes                   |  637 |     0 |    101900 |  0.63 |
43
| F8 Muxes                   |  270 |     0 |     50950 |  0.53 |
44
+----------------------------+------+-------+-----------+-------+
45
 
46
 
47
1.1 Summary of Registers by Type
48
--------------------------------
49
 
50
+-------+--------------+-------------+--------------+
51
| Total | Clock Enable | Synchronous | Asynchronous |
52
+-------+--------------+-------------+--------------+
53
| 0     |            _ |           - |            - |
54
| 0     |            _ |           - |          Set |
55
| 0     |            _ |           - |        Reset |
56
| 0     |            _ |         Set |            - |
57
| 0     |            _ |       Reset |            - |
58
| 0     |          Yes |           - |            - |
59
| 4     |          Yes |           - |          Set |
60
| 872   |          Yes |           - |        Reset |
61
| 16    |          Yes |         Set |            - |
62
| 76    |          Yes |       Reset |            - |
63
+-------+--------------+-------------+--------------+
64
 
65
 
66
2. Slice Logic Distribution
67
---------------------------
68
 
69
+-------------------------------------------+------+-------+-----------+-------+
70
|                 Site Type                 | Used | Fixed | Available | Util% |
71
+-------------------------------------------+------+-------+-----------+-------+
72
| Slice                                     |  813 |     0 |     50950 |  1.60 |
73
|   SLICEL                                  |  692 |     0 |           |       |
74
|   SLICEM                                  |  121 |     0 |           |       |
75
| LUT as Logic                              | 2820 |     0 |    203800 |  1.38 |
76
|   using O5 output only                    |    0 |       |           |       |
77
|   using O6 output only                    | 2482 |       |           |       |
78
|   using O5 and O6                         |  338 |       |           |       |
79
| LUT as Memory                             |   10 |     0 |     64000 |  0.02 |
80
|   LUT as Distributed RAM                  |    0 |     0 |           |       |
81
|   LUT as Shift Register                   |   10 |     0 |           |       |
82
|     using O5 output only                  |    2 |       |           |       |
83
|     using O6 output only                  |    0 |       |           |       |
84
|     using O5 and O6                       |    8 |       |           |       |
85
| LUT Flip Flop Pairs                       |  755 |     0 |    203800 |  0.37 |
86
|   fully used LUT-FF pairs                 |  141 |       |           |       |
87
|   LUT-FF pairs with one unused LUT output |  599 |       |           |       |
88
|   LUT-FF pairs with one unused Flip Flop  |  608 |       |           |       |
89
| Unique Control Sets                       |   45 |       |           |       |
90
+-------------------------------------------+------+-------+-----------+-------+
91
* Note: Review the Control Sets Report for more information regarding control sets.
92
 
93
 
94
3. Memory
95
---------
96
 
97
+----------------+------+-------+-----------+-------+
98
|    Site Type   | Used | Fixed | Available | Util% |
99
+----------------+------+-------+-----------+-------+
100
| Block RAM Tile |    0 |     0 |       445 |  0.00 |
101
|   RAMB36/FIFO* |    0 |     0 |       445 |  0.00 |
102
|   RAMB18       |    0 |     0 |       890 |  0.00 |
103
+----------------+------+-------+-----------+-------+
104
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
105
 
106
 
107
4. DSP
108
------
109
 
110
+-----------+------+-------+-----------+-------+
111
| Site Type | Used | Fixed | Available | Util% |
112
+-----------+------+-------+-----------+-------+
113
| DSPs      |    0 |     0 |       840 |  0.00 |
114
+-----------+------+-------+-----------+-------+
115
 
116
 
117
5. IO and GT Specific
118
---------------------
119
 
120
+-----------------------------+------+-------+-----------+-------+
121
|          Site Type          | Used | Fixed | Available | Util% |
122
+-----------------------------+------+-------+-----------+-------+
123
| Bonded IOB                  |    9 |     9 |       500 |  1.80 |
124
|   IOB Master Pads           |    2 |       |           |       |
125
|   IOB Slave Pads            |    4 |       |           |       |
126
| Bonded IPADs                |    0 |     0 |        50 |  0.00 |
127
| Bonded OPADs                |    0 |     0 |        32 |  0.00 |
128
| PHY_CONTROL                 |    0 |     0 |        10 |  0.00 |
129
| PHASER_REF                  |    0 |     0 |        10 |  0.00 |
130
| OUT_FIFO                    |    0 |     0 |        40 |  0.00 |
131
| IN_FIFO                     |    0 |     0 |        40 |  0.00 |
132
| IDELAYCTRL                  |    0 |     0 |        10 |  0.00 |
133
| IBUFDS                      |    1 |     1 |       480 |  0.21 |
134
| GTXE2_COMMON                |    0 |     0 |         4 |  0.00 |
135
| GTXE2_CHANNEL               |    0 |     0 |        16 |  0.00 |
136
| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |        40 |  0.00 |
137
| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |        40 |  0.00 |
138
| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |       500 |  0.00 |
139
| ODELAYE2/ODELAYE2_FINEDELAY |    0 |     0 |       150 |  0.00 |
140
| IBUFDS_GTE2                 |    0 |     0 |         8 |  0.00 |
141
| ILOGIC                      |    0 |     0 |       500 |  0.00 |
142
| OLOGIC                      |    0 |     0 |       500 |  0.00 |
143
+-----------------------------+------+-------+-----------+-------+
144
 
145
 
146
6. Clocking
147
-----------
148
 
149
+------------+------+-------+-----------+-------+
150
|  Site Type | Used | Fixed | Available | Util% |
151
+------------+------+-------+-----------+-------+
152
| BUFGCTRL   |    2 |     0 |        32 |  6.25 |
153
| BUFIO      |    0 |     0 |        40 |  0.00 |
154
| MMCME2_ADV |    1 |     0 |        10 | 10.00 |
155
| PLLE2_ADV  |    0 |     0 |        10 |  0.00 |
156
| BUFMRCE    |    0 |     0 |        20 |  0.00 |
157
| BUFHCE     |    0 |     0 |       168 |  0.00 |
158
| BUFR       |    0 |     0 |        40 |  0.00 |
159
+------------+------+-------+-----------+-------+
160
 
161
 
162
7. Specific Feature
163
-------------------
164
 
165
+-------------+------+-------+-----------+-------+
166
|  Site Type  | Used | Fixed | Available | Util% |
167
+-------------+------+-------+-----------+-------+
168
| BSCANE2     |    0 |     0 |         4 |  0.00 |
169
| CAPTUREE2   |    0 |     0 |         1 |  0.00 |
170
| DNA_PORT    |    0 |     0 |         1 |  0.00 |
171
| EFUSE_USR   |    0 |     0 |         1 |  0.00 |
172
| FRAME_ECCE2 |    0 |     0 |         1 |  0.00 |
173
| ICAPE2      |    0 |     0 |         2 |  0.00 |
174
| PCIE_2_1    |    0 |     0 |         1 |  0.00 |
175
| STARTUPE2   |    0 |     0 |         1 |  0.00 |
176
| XADC        |    0 |     0 |         1 |  0.00 |
177
+-------------+------+-------+-----------+-------+
178
 
179
 
180
8. Primitives
181
-------------
182
 
183
+------------+------+---------------------+
184
|  Ref Name  | Used | Functional Category |
185
+------------+------+---------------------+
186
| LUT6       | 1941 |                 LUT |
187
| FDCE       |  868 |        Flop & Latch |
188
| MUXF7      |  637 |               MuxFx |
189
| LUT2       |  450 |                 LUT |
190
| LUT3       |  323 |                 LUT |
191
| MUXF8      |  270 |               MuxFx |
192
| LUT5       |  268 |                 LUT |
193
| LUT4       |  173 |                 LUT |
194
| FDRE       |   76 |        Flop & Latch |
195
| SRL16E     |   18 |  Distributed Memory |
196
| FDSE       |   16 |        Flop & Latch |
197
| CARRY4     |    8 |          CarryLogic |
198
| OBUF       |    5 |                  IO |
199
| LDCE       |    4 |        Flop & Latch |
200
| FDPE       |    4 |        Flop & Latch |
201
| LUT1       |    3 |                 LUT |
202
| IBUF       |    2 |                  IO |
203
| BUFG       |    2 |               Clock |
204
| MMCME2_ADV |    1 |               Clock |
205
| IBUFDS     |    1 |                  IO |
206
+------------+------+---------------------+
207
 
208
 
209
9. Black Boxes
210
--------------
211
 
212
+----------+------+
213
| Ref Name | Used |
214
+----------+------+
215
 
216
 
217
10. Instantiated Netlists
218
-------------------------
219
 
220
+---------------------+------+
221
|       Ref Name      | Used |
222
+---------------------+------+
223
| clk_gen             |    1 |
224
| axi_uartlite_module |    1 |
225
+---------------------+------+
226
 
227
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.