OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [synth_1/] [aes128_ecb_fpga_wrap.tcl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
# 
2
# Synthesis run script generated by Vivado
3
# 
4
 
5
proc create_report { reportName command } {
6
  set status "."
7
  append status $reportName ".fail"
8
  if { [file exists $status] } {
9
    eval file delete [glob $status]
10
  }
11
  send_msg_id runtcl-4 info "Executing : $command"
12
  set retval [eval catch { $command } msg]
13
  if { $retval != 0 } {
14
    set fp [open $status w]
15
    close $fp
16
    send_msg_id runtcl-5 warning "$msg"
17
  }
18
}
19
set_param xicom.use_bs_reader 1
20
create_project -in_memory -part xc7k325tffg900-2
21
 
22
set_param project.singleFileAddWarning.threshold 0
23
set_param project.compositeFile.enableAutoGeneration 0
24
set_param synth.vivado.isSynthRun true
25
set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info
26
set_property webtalk.parent_dir /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.cache/wt [current_project]
27
set_property parent.project_path /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.xpr [current_project]
28
set_property XPM_LIBRARIES XPM_CDC [current_project]
29
set_property default_lib xil_defaultlib [current_project]
30
set_property target_language Verilog [current_project]
31
set_property board_part xilinx.com:kc705:part0:1.5 [current_project]
32
set_property ip_output_repo /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.cache/ip [current_project]
33
set_property ip_cache_permissions {read write} [current_project]
34
read_verilog -library xil_defaultlib -sv {
35
  /home/user/aes128/src/aes128_enc.sv
36
  /home/user/aes128/src/wrap/axi_interface.sv
37
  /home/user/aes128/src/wrap/system_manager.sv
38
  /home/user/aes128/src/wrap/aes128_ecb_fpga_wrap.sv
39
}
40
read_ip -quiet /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xci
41
set_property used_in_implementation false [get_files -all /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_board.xdc]
42
set_property used_in_implementation false [get_files -all /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xdc]
43
set_property used_in_implementation false [get_files -all /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen_ooc.xdc]
44
 
45
read_ip -quiet /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/axi_uartlite_module/axi_uartlite_module.xci
46
set_property used_in_implementation false [get_files -all /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/axi_uartlite_module/axi_uartlite_module_board.xdc]
47
set_property used_in_implementation false [get_files -all /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/axi_uartlite_module/axi_uartlite_module_ooc.xdc]
48
set_property used_in_implementation false [get_files -all /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/axi_uartlite_module/axi_uartlite_module.xdc]
49
 
50
# Mark all dcp files as not used in implementation to prevent them from being
51
# stitched into the results of this synthesis run. Any black boxes in the
52
# design are intentionally left as such for best results. Dcp files will be
53
# stitched into the design at a later time, either when this synthesis run is
54
# opened, or when it is stitched into a dependent implementation run.
55
foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
56
  set_property used_in_implementation false $dcp
57
}
58
read_xdc /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/constrs_1/new/pinout.xdc
59
set_property used_in_implementation false [get_files /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/constrs_1/new/pinout.xdc]
60
 
61
read_xdc /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/constrs_1/new/timings.xdc
62
set_property used_in_implementation false [get_files /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/constrs_1/new/timings.xdc]
63
 
64
 
65
synth_design -top aes128_ecb_fpga_wrap -part xc7k325tffg900-2
66
 
67
 
68
# disable binary constraint mode for synth run checkpoints
69
set_param constraints.enableBinaryConstraints false
70
write_checkpoint -force -noxdef aes128_ecb_fpga_wrap.dcp
71
create_report "synth_1_synth_report_utilization_0" "report_utilization -file aes128_ecb_fpga_wrap_utilization_synth.rpt -pb aes128_ecb_fpga_wrap_utilization_synth.pb"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.