OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.srcs/] [sources_1/] [ip/] [axi_uartlite_module/] [axi_uartlite_module_stub.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
// --------------------------------------------------------------------------------
3
// Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
// Date        : Thu Jul 23 09:49:59 2020
5
// Host        : gigant.modulew.local running 64-bit Red Hat Enterprise Linux Server release 6.9 (Santiago)
6
// Command     : write_verilog -force -mode synth_stub -rename_top axi_uartlite_module -prefix
7
//               axi_uartlite_module_ axi_uartlite_module_stub.v
8
// Design      : axi_uartlite_module
9
// Purpose     : Stub declaration of top-level module interface
10
// Device      : xc7k325tffg900-2
11
// --------------------------------------------------------------------------------
12
 
13
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
14
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
15
// Please paste the declaration into a Verilog source file or add the file as an additional source.
16
(* x_core_info = "axi_uartlite,Vivado 2017.4" *)
17
module axi_uartlite_module(s_axi_aclk, s_axi_aresetn, interrupt,
18
  s_axi_awaddr, s_axi_awvalid, s_axi_awready, s_axi_wdata, s_axi_wstrb, s_axi_wvalid,
19
  s_axi_wready, s_axi_bresp, s_axi_bvalid, s_axi_bready, s_axi_araddr, s_axi_arvalid,
20
  s_axi_arready, s_axi_rdata, s_axi_rresp, s_axi_rvalid, s_axi_rready, rx, tx)
21
/* synthesis syn_black_box black_box_pad_pin="s_axi_aclk,s_axi_aresetn,interrupt,s_axi_awaddr[3:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[3:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,rx,tx" */;
22
  input s_axi_aclk;
23
  input s_axi_aresetn;
24
  output interrupt;
25
  input [3:0]s_axi_awaddr;
26
  input s_axi_awvalid;
27
  output s_axi_awready;
28
  input [31:0]s_axi_wdata;
29
  input [3:0]s_axi_wstrb;
30
  input s_axi_wvalid;
31
  output s_axi_wready;
32
  output [1:0]s_axi_bresp;
33
  output s_axi_bvalid;
34
  input s_axi_bready;
35
  input [3:0]s_axi_araddr;
36
  input s_axi_arvalid;
37
  output s_axi_arready;
38
  output [31:0]s_axi_rdata;
39
  output [1:0]s_axi_rresp;
40
  output s_axi_rvalid;
41
  input s_axi_rready;
42
  input rx;
43
  output tx;
44
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.