OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.srcs/] [sources_1/] [ip/] [axi_uartlite_module/] [sim/] [axi_uartlite_module.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
-- (c) Copyright 1995-2020 Xilinx, Inc. All rights reserved.
2
-- 
3
-- This file contains confidential and proprietary information
4
-- of Xilinx, Inc. and is protected under U.S. and
5
-- international copyright and other intellectual property
6
-- laws.
7
-- 
8
-- DISCLAIMER
9
-- This disclaimer is not a license and does not grant any
10
-- rights to the materials distributed herewith. Except as
11
-- otherwise provided in a valid license issued to you by
12
-- Xilinx, and to the maximum extent permitted by applicable
13
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
14
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
15
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
16
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
17
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
18
-- (2) Xilinx shall not be liable (whether in contract or tort,
19
-- including negligence, or under any other theory of
20
-- liability) for any loss or damage of any kind or nature
21
-- related to, arising under or in connection with these
22
-- materials, including for any direct, or any indirect,
23
-- special, incidental, or consequential loss or damage
24
-- (including loss of data, profits, goodwill, or any type of
25
-- loss or damage suffered as a result of any action brought
26
-- by a third party) even if such damage or loss was
27
-- reasonably foreseeable or Xilinx had been advised of the
28
-- possibility of the same.
29
-- 
30
-- CRITICAL APPLICATIONS
31
-- Xilinx products are not designed or intended to be fail-
32
-- safe, or for use in any application requiring fail-safe
33
-- performance, such as life-support or safety devices or
34
-- systems, Class III medical devices, nuclear facilities,
35
-- applications related to the deployment of airbags, or any
36
-- other applications that could lead to death, personal
37
-- injury, or severe property or environmental damage
38
-- (individually and collectively, "Critical
39
-- Applications"). Customer assumes the sole risk and
40
-- liability of any use of Xilinx products in Critical
41
-- Applications, subject only to applicable laws and
42
-- regulations governing limitations on product liability.
43
-- 
44
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
45
-- PART OF THIS FILE AT ALL TIMES.
46
-- 
47
-- DO NOT MODIFY THIS FILE.
48
 
49
-- IP VLNV: xilinx.com:ip:axi_uartlite:2.0
50
-- IP Revision: 19
51
 
52
LIBRARY ieee;
53
USE ieee.std_logic_1164.ALL;
54
USE ieee.numeric_std.ALL;
55
 
56
LIBRARY axi_uartlite_v2_0_19;
57
USE axi_uartlite_v2_0_19.axi_uartlite;
58
 
59
ENTITY axi_uartlite_module IS
60
  PORT (
61
    s_axi_aclk : IN STD_LOGIC;
62
    s_axi_aresetn : IN STD_LOGIC;
63
    interrupt : OUT STD_LOGIC;
64
    s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
65
    s_axi_awvalid : IN STD_LOGIC;
66
    s_axi_awready : OUT STD_LOGIC;
67
    s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
68
    s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
69
    s_axi_wvalid : IN STD_LOGIC;
70
    s_axi_wready : OUT STD_LOGIC;
71
    s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
72
    s_axi_bvalid : OUT STD_LOGIC;
73
    s_axi_bready : IN STD_LOGIC;
74
    s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
75
    s_axi_arvalid : IN STD_LOGIC;
76
    s_axi_arready : OUT STD_LOGIC;
77
    s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
78
    s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
79
    s_axi_rvalid : OUT STD_LOGIC;
80
    s_axi_rready : IN STD_LOGIC;
81
    rx : IN STD_LOGIC;
82
    tx : OUT STD_LOGIC
83
  );
84
END axi_uartlite_module;
85
 
86
ARCHITECTURE axi_uartlite_module_arch OF axi_uartlite_module IS
87
  ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
88
  ATTRIBUTE DowngradeIPIdentifiedWarnings OF axi_uartlite_module_arch: ARCHITECTURE IS "yes";
89
  COMPONENT axi_uartlite IS
90
    GENERIC (
91
      C_FAMILY : STRING;
92
      C_S_AXI_ACLK_FREQ_HZ : INTEGER;
93
      C_S_AXI_ADDR_WIDTH : INTEGER;
94
      C_S_AXI_DATA_WIDTH : INTEGER;
95
      C_BAUDRATE : INTEGER;
96
      C_DATA_BITS : INTEGER;
97
      C_USE_PARITY : INTEGER;
98
      C_ODD_PARITY : INTEGER
99
    );
100
    PORT (
101
      s_axi_aclk : IN STD_LOGIC;
102
      s_axi_aresetn : IN STD_LOGIC;
103
      interrupt : OUT STD_LOGIC;
104
      s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
105
      s_axi_awvalid : IN STD_LOGIC;
106
      s_axi_awready : OUT STD_LOGIC;
107
      s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
108
      s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
109
      s_axi_wvalid : IN STD_LOGIC;
110
      s_axi_wready : OUT STD_LOGIC;
111
      s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
112
      s_axi_bvalid : OUT STD_LOGIC;
113
      s_axi_bready : IN STD_LOGIC;
114
      s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
115
      s_axi_arvalid : IN STD_LOGIC;
116
      s_axi_arready : OUT STD_LOGIC;
117
      s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
118
      s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
119
      s_axi_rvalid : OUT STD_LOGIC;
120
      s_axi_rready : IN STD_LOGIC;
121
      rx : IN STD_LOGIC;
122
      tx : OUT STD_LOGIC
123
    );
124
  END COMPONENT axi_uartlite;
125
  ATTRIBUTE X_INTERFACE_INFO : STRING;
126
  ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
127
  ATTRIBUTE X_INTERFACE_INFO OF tx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART TxD";
128
  ATTRIBUTE X_INTERFACE_PARAMETER OF rx: SIGNAL IS "XIL_INTERFACENAME UART, BOARD.ASSOCIATED_PARAM UARTLITE_BOARD_INTERFACE";
129
  ATTRIBUTE X_INTERFACE_INFO OF rx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART RxD";
130
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
131
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
132
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
133
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
134
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
135
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
136
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
137
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
138
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
139
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
140
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
141
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
142
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
143
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
144
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
145
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
146
  ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_awaddr: SIGNAL IS "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 4, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 1, NUM_WRITE_OUTSTANDING 1, MAX_BURST_LENGTH 1, PHASE 0.000, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
147
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
148
  ATTRIBUTE X_INTERFACE_PARAMETER OF interrupt: SIGNAL IS "XIL_INTERFACENAME INTERRUPT, SENSITIVITY EDGE_RISING, PortWidth 1";
149
  ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT";
150
  ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_aresetn: SIGNAL IS "XIL_INTERFACENAME ARESETN, POLARITY ACTIVE_LOW";
151
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 ARESETN RST";
152
  ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_aclk: SIGNAL IS "XIL_INTERFACENAME ACLK, ASSOCIATED_BUSIF S_AXI, ASSOCIATED_RESET s_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000";
153
  ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 ACLK CLK";
154
BEGIN
155
  U0 : axi_uartlite
156
    GENERIC MAP (
157
      C_FAMILY => "kintex7",
158
      C_S_AXI_ACLK_FREQ_HZ => 100000000,
159
      C_S_AXI_ADDR_WIDTH => 4,
160
      C_S_AXI_DATA_WIDTH => 32,
161
      C_BAUDRATE => 38400,
162
      C_DATA_BITS => 8,
163
      C_USE_PARITY => 0,
164
      C_ODD_PARITY => 0
165
    )
166
    PORT MAP (
167
      s_axi_aclk => s_axi_aclk,
168
      s_axi_aresetn => s_axi_aresetn,
169
      interrupt => interrupt,
170
      s_axi_awaddr => s_axi_awaddr,
171
      s_axi_awvalid => s_axi_awvalid,
172
      s_axi_awready => s_axi_awready,
173
      s_axi_wdata => s_axi_wdata,
174
      s_axi_wstrb => s_axi_wstrb,
175
      s_axi_wvalid => s_axi_wvalid,
176
      s_axi_wready => s_axi_wready,
177
      s_axi_bresp => s_axi_bresp,
178
      s_axi_bvalid => s_axi_bvalid,
179
      s_axi_bready => s_axi_bready,
180
      s_axi_araddr => s_axi_araddr,
181
      s_axi_arvalid => s_axi_arvalid,
182
      s_axi_arready => s_axi_arready,
183
      s_axi_rdata => s_axi_rdata,
184
      s_axi_rresp => s_axi_rresp,
185
      s_axi_rvalid => s_axi_rvalid,
186
      s_axi_rready => s_axi_rready,
187
      rx => rx,
188
      tx => tx
189
    );
190
END axi_uartlite_module_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.