OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.srcs/] [sources_1/] [ip/] [axi_uartlite_module_sim/] [axi_uartlite_module_sim_stub.vhdl] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
-- --------------------------------------------------------------------------------
3
-- Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
-- Date        : Thu Jul 23 09:49:59 2020
5
-- Host        : gigant.modulew.local running 64-bit Red Hat Enterprise Linux Server release 6.9 (Santiago)
6
-- Command     : write_vhdl -force -mode synth_stub -rename_top axi_uartlite_module_sim -prefix
7
--               axi_uartlite_module_sim_ axi_uartlite_module_stub.vhdl
8
-- Design      : axi_uartlite_module
9
-- Purpose     : Stub declaration of top-level module interface
10
-- Device      : xc7k325tffg900-2
11
-- --------------------------------------------------------------------------------
12
library IEEE;
13
use IEEE.STD_LOGIC_1164.ALL;
14
 
15
entity axi_uartlite_module_sim is
16
  Port (
17
    s_axi_aclk : in STD_LOGIC;
18
    s_axi_aresetn : in STD_LOGIC;
19
    interrupt : out STD_LOGIC;
20
    s_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 );
21
    s_axi_awvalid : in STD_LOGIC;
22
    s_axi_awready : out STD_LOGIC;
23
    s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
24
    s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
25
    s_axi_wvalid : in STD_LOGIC;
26
    s_axi_wready : out STD_LOGIC;
27
    s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
28
    s_axi_bvalid : out STD_LOGIC;
29
    s_axi_bready : in STD_LOGIC;
30
    s_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 );
31
    s_axi_arvalid : in STD_LOGIC;
32
    s_axi_arready : out STD_LOGIC;
33
    s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
34
    s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
35
    s_axi_rvalid : out STD_LOGIC;
36
    s_axi_rready : in STD_LOGIC;
37
    rx : in STD_LOGIC;
38
    tx : out STD_LOGIC
39
  );
40
 
41
end axi_uartlite_module_sim;
42
 
43
architecture stub of axi_uartlite_module_sim is
44
attribute syn_black_box : boolean;
45
attribute black_box_pad_pin : string;
46
attribute syn_black_box of stub : architecture is true;
47
attribute black_box_pad_pin of stub : architecture is "s_axi_aclk,s_axi_aresetn,interrupt,s_axi_awaddr[3:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[3:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,rx,tx";
48
attribute x_core_info : string;
49
attribute x_core_info of stub : architecture is "axi_uartlite,Vivado 2017.4";
50
begin
51
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.