OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.srcs/] [sources_1/] [ip/] [clk_gen/] [clk_gen.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
 
2
// file: clk_gen.v
3
// 
4
// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
5
// 
6
// This file contains confidential and proprietary information
7
// of Xilinx, Inc. and is protected under U.S. and
8
// international copyright and other intellectual property
9
// laws.
10
// 
11
// DISCLAIMER
12
// This disclaimer is not a license and does not grant any
13
// rights to the materials distributed herewith. Except as
14
// otherwise provided in a valid license issued to you by
15
// Xilinx, and to the maximum extent permitted by applicable
16
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
// (2) Xilinx shall not be liable (whether in contract or tort,
22
// including negligence, or under any other theory of
23
// liability) for any loss or damage of any kind or nature
24
// related to, arising under or in connection with these
25
// materials, including for any direct, or any indirect,
26
// special, incidental, or consequential loss or damage
27
// (including loss of data, profits, goodwill, or any type of
28
// loss or damage suffered as a result of any action brought
29
// by a third party) even if such damage or loss was
30
// reasonably foreseeable or Xilinx had been advised of the
31
// possibility of the same.
32
// 
33
// CRITICAL APPLICATIONS
34
// Xilinx products are not designed or intended to be fail-
35
// safe, or for use in any application requiring fail-safe
36
// performance, such as life-support or safety devices or
37
// systems, Class III medical devices, nuclear facilities,
38
// applications related to the deployment of airbags, or any
39
// other applications that could lead to death, personal
40
// injury, or severe property or environmental damage
41
// (individually and collectively, "Critical
42
// Applications"). Customer assumes the sole risk and
43
// liability of any use of Xilinx products in Critical
44
// Applications, subject only to applicable laws and
45
// regulations governing limitations on product liability.
46
// 
47
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
// PART OF THIS FILE AT ALL TIMES.
49
// 
50
//----------------------------------------------------------------------------
51
// User entered comments
52
//----------------------------------------------------------------------------
53
// None
54
//
55
//----------------------------------------------------------------------------
56
//  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
57
//   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
58
//----------------------------------------------------------------------------
59
// clk_out1___100.000______0.000______50.0______112.316_____89.971
60
//
61
//----------------------------------------------------------------------------
62
// Input Clock   Freq (MHz)    Input Jitter (UI)
63
//----------------------------------------------------------------------------
64
// __primary_________200.000____________0.010
65
 
66
`timescale 1ps/1ps
67
 
68
(* CORE_GENERATION_INFO = "clk_gen,clk_wiz_v5_4_3_0,{component_name=clk_gen,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=5.000,clkin2_period=10.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}" *)
69
 
70
module clk_gen
71
 (
72
  // Clock out ports
73
  output        clk_out1,
74
  // Status and control signals
75
  input         reset,
76
  output        locked,
77
 // Clock in ports
78
  input         clk_in1_p,
79
  input         clk_in1_n
80
 );
81
 
82
  clk_gen_clk_wiz inst
83
  (
84
  // Clock out ports  
85
  .clk_out1(clk_out1),
86
  // Status and control signals               
87
  .reset(reset),
88
  .locked(locked),
89
 // Clock in ports
90
  .clk_in1_p(clk_in1_p),
91
  .clk_in1_n(clk_in1_n)
92
  );
93
 
94
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.