OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.srcs/] [sources_1/] [ip/] [clk_gen/] [clk_gen_clk_wiz.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
 
2
// file: clk_gen.v
3
// 
4
// (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
5
// 
6
// This file contains confidential and proprietary information
7
// of Xilinx, Inc. and is protected under U.S. and
8
// international copyright and other intellectual property
9
// laws.
10
// 
11
// DISCLAIMER
12
// This disclaimer is not a license and does not grant any
13
// rights to the materials distributed herewith. Except as
14
// otherwise provided in a valid license issued to you by
15
// Xilinx, and to the maximum extent permitted by applicable
16
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
17
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
18
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
19
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
20
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
21
// (2) Xilinx shall not be liable (whether in contract or tort,
22
// including negligence, or under any other theory of
23
// liability) for any loss or damage of any kind or nature
24
// related to, arising under or in connection with these
25
// materials, including for any direct, or any indirect,
26
// special, incidental, or consequential loss or damage
27
// (including loss of data, profits, goodwill, or any type of
28
// loss or damage suffered as a result of any action brought
29
// by a third party) even if such damage or loss was
30
// reasonably foreseeable or Xilinx had been advised of the
31
// possibility of the same.
32
// 
33
// CRITICAL APPLICATIONS
34
// Xilinx products are not designed or intended to be fail-
35
// safe, or for use in any application requiring fail-safe
36
// performance, such as life-support or safety devices or
37
// systems, Class III medical devices, nuclear facilities,
38
// applications related to the deployment of airbags, or any
39
// other applications that could lead to death, personal
40
// injury, or severe property or environmental damage
41
// (individually and collectively, "Critical
42
// Applications"). Customer assumes the sole risk and
43
// liability of any use of Xilinx products in Critical
44
// Applications, subject only to applicable laws and
45
// regulations governing limitations on product liability.
46
// 
47
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
48
// PART OF THIS FILE AT ALL TIMES.
49
// 
50
//----------------------------------------------------------------------------
51
// User entered comments
52
//----------------------------------------------------------------------------
53
// None
54
//
55
//----------------------------------------------------------------------------
56
//  Output     Output      Phase    Duty Cycle   Pk-to-Pk     Phase
57
//   Clock     Freq (MHz)  (degrees)    (%)     Jitter (ps)  Error (ps)
58
//----------------------------------------------------------------------------
59
// clk_out1___100.000______0.000______50.0______112.316_____89.971
60
//
61
//----------------------------------------------------------------------------
62
// Input Clock   Freq (MHz)    Input Jitter (UI)
63
//----------------------------------------------------------------------------
64
// __primary_________200.000____________0.010
65
 
66
`timescale 1ps/1ps
67
 
68
module clk_gen_clk_wiz
69
 
70
 (// Clock in ports
71
  // Clock out ports
72
  output        clk_out1,
73
  // Status and control signals
74
  input         reset,
75
  output        locked,
76
  input         clk_in1_p,
77
  input         clk_in1_n
78
 );
79
  // Input buffering
80
  //------------------------------------
81
wire clk_in1_clk_gen;
82
wire clk_in2_clk_gen;
83
  IBUFDS clkin1_ibufgds
84
   (.O  (clk_in1_clk_gen),
85
    .I  (clk_in1_p),
86
    .IB (clk_in1_n));
87
 
88
 
89
 
90
 
91
  // Clocking PRIMITIVE
92
  //------------------------------------
93
 
94
  // Instantiation of the MMCM PRIMITIVE
95
  //    * Unused inputs are tied off
96
  //    * Unused outputs are labeled unused
97
 
98
  wire        clk_out1_clk_gen;
99
  wire        clk_out2_clk_gen;
100
  wire        clk_out3_clk_gen;
101
  wire        clk_out4_clk_gen;
102
  wire        clk_out5_clk_gen;
103
  wire        clk_out6_clk_gen;
104
  wire        clk_out7_clk_gen;
105
 
106
  wire [15:0] do_unused;
107
  wire        drdy_unused;
108
  wire        psdone_unused;
109
  wire        locked_int;
110
  wire        clkfbout_clk_gen;
111
  wire        clkfbout_buf_clk_gen;
112
  wire        clkfboutb_unused;
113
    wire clkout0b_unused;
114
   wire clkout1_unused;
115
   wire clkout1b_unused;
116
   wire clkout2_unused;
117
   wire clkout2b_unused;
118
   wire clkout3_unused;
119
   wire clkout3b_unused;
120
   wire clkout4_unused;
121
  wire        clkout5_unused;
122
  wire        clkout6_unused;
123
  wire        clkfbstopped_unused;
124
  wire        clkinstopped_unused;
125
  wire        reset_high;
126
 
127
  MMCME2_ADV
128
  #(.BANDWIDTH            ("OPTIMIZED"),
129
    .CLKOUT4_CASCADE      ("FALSE"),
130
    .COMPENSATION         ("ZHOLD"),
131
    .STARTUP_WAIT         ("FALSE"),
132
    .DIVCLK_DIVIDE        (1),
133
    .CLKFBOUT_MULT_F      (5.000),
134
    .CLKFBOUT_PHASE       (0.000),
135
    .CLKFBOUT_USE_FINE_PS ("FALSE"),
136
    .CLKOUT0_DIVIDE_F     (10.000),
137
    .CLKOUT0_PHASE        (0.000),
138
    .CLKOUT0_DUTY_CYCLE   (0.500),
139
    .CLKOUT0_USE_FINE_PS  ("FALSE"),
140
    .CLKIN1_PERIOD        (5.000))
141
  mmcm_adv_inst
142
    // Output clocks
143
   (
144
    .CLKFBOUT            (clkfbout_clk_gen),
145
    .CLKFBOUTB           (clkfboutb_unused),
146
    .CLKOUT0             (clk_out1_clk_gen),
147
    .CLKOUT0B            (clkout0b_unused),
148
    .CLKOUT1             (clkout1_unused),
149
    .CLKOUT1B            (clkout1b_unused),
150
    .CLKOUT2             (clkout2_unused),
151
    .CLKOUT2B            (clkout2b_unused),
152
    .CLKOUT3             (clkout3_unused),
153
    .CLKOUT3B            (clkout3b_unused),
154
    .CLKOUT4             (clkout4_unused),
155
    .CLKOUT5             (clkout5_unused),
156
    .CLKOUT6             (clkout6_unused),
157
     // Input clock control
158
    .CLKFBIN             (clkfbout_buf_clk_gen),
159
    .CLKIN1              (clk_in1_clk_gen),
160
    .CLKIN2              (1'b0),
161
     // Tied to always select the primary input clock
162
    .CLKINSEL            (1'b1),
163
    // Ports for dynamic reconfiguration
164
    .DADDR               (7'h0),
165
    .DCLK                (1'b0),
166
    .DEN                 (1'b0),
167
    .DI                  (16'h0),
168
    .DO                  (do_unused),
169
    .DRDY                (drdy_unused),
170
    .DWE                 (1'b0),
171
    // Ports for dynamic phase shift
172
    .PSCLK               (1'b0),
173
    .PSEN                (1'b0),
174
    .PSINCDEC            (1'b0),
175
    .PSDONE              (psdone_unused),
176
    // Other control and status signals
177
    .LOCKED              (locked_int),
178
    .CLKINSTOPPED        (clkinstopped_unused),
179
    .CLKFBSTOPPED        (clkfbstopped_unused),
180
    .PWRDWN              (1'b0),
181
    .RST                 (reset_high));
182
  assign reset_high = reset;
183
 
184
  assign locked = locked_int;
185
// Clock Monitor clock assigning
186
//--------------------------------------
187
 // Output buffering
188
  //-----------------------------------
189
 
190
  BUFG clkf_buf
191
   (.O (clkfbout_buf_clk_gen),
192
    .I (clkfbout_clk_gen));
193
 
194
 
195
 
196
 
197
 
198
 
199
  BUFG clkout1_buf
200
   (.O   (clk_out1),
201
    .I   (clk_out1_clk_gen));
202
 
203
 
204
 
205
 
206
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.