OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.srcs/] [sources_1/] [ip/] [clk_gen/] [clk_gen_stub.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
2
// --------------------------------------------------------------------------------
3
// Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
4
// Date        : Thu Jul 23 09:43:35 2020
5
// Host        : gigant.modulew.local running 64-bit Red Hat Enterprise Linux Server release 6.9 (Santiago)
6
// Command     : write_verilog -force -mode synth_stub -rename_top clk_gen -prefix
7
//               clk_gen_ clk_gen_stub.v
8
// Design      : clk_gen
9
// Purpose     : Stub declaration of top-level module interface
10
// Device      : xc7k325tffg900-2
11
// --------------------------------------------------------------------------------
12
 
13
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
14
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
15
// Please paste the declaration into a Verilog source file or add the file as an additional source.
16
module clk_gen(clk_out1, reset, locked, clk_in1_p, clk_in1_n)
17
/* synthesis syn_black_box black_box_pad_pin="clk_out1,reset,locked,clk_in1_p,clk_in1_n" */;
18
  output clk_out1;
19
  input reset;
20
  output locked;
21
  input clk_in1_p;
22
  input clk_in1_n;
23
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.