OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.srcs/] [sources_1/] [ip/] [clk_gen/] [doc/] [clk_wiz_v5_4_changelog.txt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
2017.4:
2
 * Version 5.4 (Rev. 3)
3
 * Bug Fix: Internal GUI issues are fixed for COMPENSATION mode as INTERNAL
4
 * Bug Fix: Fixed issue in dynamic reconfiguration of fractional values of M in MMCME3, MMCME4 CR-991054
5
 
6
2017.3:
7
 * Version 5.4 (Rev. 2)
8
 * General: Internal GUI changes. No effect on the customer design. Added support for aspartan7 devices
9
 
10
2017.2:
11
 * Version 5.4 (Rev. 1)
12
 * General: Internal GUI changes. No effect on the customer design.
13
 
14
2017.1:
15
 * Version 5.4
16
 * Port Change: Minor version upgrade. CLR pins are added to the pin list when selected buffer is BUFGCEDIV for ultrascale and ultrascale plus devices.
17
 * Other: Added support for new zynq ultrascale plus devices.
18
 
19
2016.4:
20
 * Version 5.3 (Rev. 3)
21
 * Bug Fix: Internal GUI issues are fixed.
22
 
23
2016.3:
24
 * Version 5.3 (Rev. 2)
25
 * Feature Enhancement: Added new option "Auto" under PRIMITIVE selection for ultrascale and above devices. This option allows the Wizard to instantiate appropriate primitive for the user inputs.
26
 * Feature Enhancement: Added Matched Routing Option for better timing solutions.
27
 * Feature Enhancement: Options 'Buffer' and 'Buffer_with_CE' are added to the buffer selection list.
28
 * Other: Source HDL files are concatenated into a single file to speed up synthesis and simulation. No changes required by the user
29
 * Other: Added support for Spartan7 devices.
30
 
31
2016.2:
32
 * Version 5.3 (Rev. 1)
33
 * Internal register bit update, no effect on customer designs.
34
 
35
2016.1:
36
 * Version 5.3
37
 * Added Clock Monitor Feature as part of clocking wizard
38
 * DRP registers can be directly written through AXI without resource utilization
39
 * Changes to HDL library management to support Vivado IP simulation library
40
 
41
2015.4.2:
42
 * Version 5.2 (Rev. 1)
43
 * No changes
44
 
45
2015.4.1:
46
 * Version 5.2 (Rev. 1)
47
 * No changes
48
 
49
2015.4:
50
 * Version 5.2 (Rev. 1)
51
 * Internal device family change, no functional changes
52
 
53
2015.3:
54
 * Version 5.2
55
 * IP revision number added to HDL module, library, and include file names, to support designs with both locked and upgraded IP instances
56
 * Port Renaming tab is hidden in the GUI in IP Integrator as this feature is not supported
57
 * Phase alignment feature is removed for ultrascale PLL as primitve has limited capabilities of supporting this feature
58
 * When clocking wizard is targetted on a board part, the frequency values that gets propagated to primary and secondary clocks are displayed in floating number format
59
 * Example design and simulation files are delivered in verilog only
60
 
61
2015.2.1:
62
 * Version 5.1 (Rev. 6)
63
 * No changes
64
 
65
2015.2:
66
 * Version 5.1 (Rev. 6)
67
 * No changes
68
 
69
2015.1:
70
 * Version 5.1 (Rev. 6)
71
 * Updated mmcm_pll_filter_lookup and mmcm_pll_lock_lookup functions in the header file for 7-Series and UltraScale devices
72
 * Supported devices and production status are now determined automatically, to simplify support for future devices
73
 
74
2014.4.1:
75
 * Version 5.1 (Rev. 5)
76
 * No changes
77
 
78
2014.4:
79
 * Version 5.1 (Rev. 5)
80
 * Internal device family change, no functional changes
81
 * updates related to the source selection based on board interface for zed board
82
 
83
2014.3:
84
 * Version 5.1 (Rev. 4)
85
 * Option added to enable dynamic phase and duty cycle for resource optimization in AXI4-Lite interface
86
 
87
2014.2:
88
 * Version 5.1 (Rev. 3)
89
 * Updated for AXI4-Lite interface locked status register address and bit mapping to align with the pg065
90
 
91
2014.1:
92
 * Version 5.1 (Rev. 2)
93
 * Updated to use inverted output CLKOUTB 0-3 of Clocking Primitive based on requested 180 phase w.r.t. previous clock
94
 * Internal device family name change, no functional changes
95
 
96
2013.4:
97
 * Version 5.1 (Rev. 1)
98
 * Added support for Ultrascale devices
99
 * Updated Board Flow GUI to select the clock interfaces
100
 * Fixed issue with Stub file parameter error for BUFR output driver
101
 
102
2013.3:
103
 * Version 5.1
104
 * Added AXI4-Lite interface to dynamically reconfigure MMCM/PLL
105
 * Improved safe clock logic to remove glitches on clock outputs for odd multiples of input clock frequencies
106
 * Fixed precision issues between displayed and actual frequencies
107
 * Added tool tips to GUI
108
 * Added Jitter and Phase error values to IP properties
109
 * Added support for Cadence IES and Synopsys VCS simulators
110
 * Reduced warnings in synthesis and simulation
111
 * Enhanced support for IP Integrator
112
 
113
2013.2:
114
 * Version 5.0 (Rev. 1)
115
 * Fixed issue with clock constraints for multiple instances of clocking wizard
116
 * Updated Life-Cycle status of devices
117
 
118
2013.1:
119
 * Version 5.0
120
 * Lower case ports for Verilog
121
 * Added Safe Clock Startup and Clock Sequencing
122
 
123
(c) Copyright 2008 - 2017 Xilinx, Inc. All rights reserved.
124
 
125
This file contains confidential and proprietary information
126
of Xilinx, Inc. and is protected under U.S. and
127
international copyright and other intellectual property
128
laws.
129
 
130
DISCLAIMER
131
This disclaimer is not a license and does not grant any
132
rights to the materials distributed herewith. Except as
133
otherwise provided in a valid license issued to you by
134
Xilinx, and to the maximum extent permitted by applicable
135
law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
136
WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
137
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
138
BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
139
INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
140
(2) Xilinx shall not be liable (whether in contract or tort,
141
including negligence, or under any other theory of
142
liability) for any loss or damage of any kind or nature
143
related to, arising under or in connection with these
144
materials, including for any direct, or any indirect,
145
special, incidental, or consequential loss or damage
146
(including loss of data, profits, goodwill, or any type of
147
loss or damage suffered as a result of any action brought
148
by a third party) even if such damage or loss was
149
reasonably foreseeable or Xilinx had been advised of the
150
possibility of the same.
151
 
152
CRITICAL APPLICATIONS
153
Xilinx products are not designed or intended to be fail-
154
safe, or for use in any application requiring fail-safe
155
performance, such as life-support or safety devices or
156
systems, Class III medical devices, nuclear facilities,
157
applications related to the deployment of airbags, or any
158
other applications that could lead to death, personal
159
injury, or severe property or environmental damage
160
(individually and collectively, "Critical
161
Applications"). Customer assumes the sole risk and
162
liability of any use of Xilinx products in Critical
163
Applications, subject only to applicable laws and
164
regulations governing limitations on product liability.
165
 
166
THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
167
PART OF THIS FILE AT ALL TIMES.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.