OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [compile_simlib.log] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
##########################################################################
2
#
3
# Application : compile_simlib (2017.4)
4
# File name   : compile_simlib.log
5
#
6
# #########################################################################
7
INFO: [Vivado 12-5496] Finding simulator executables and checking version...
8
INFO: [Vivado 12-5498] Processing source library information for the selected device family (default:all) ...
9
 
10
Compiling libraries for 'ies' simulator in '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib'
11
--> Compiling 'verilog.secureip' library...
12
    > Source Library = '/opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip'
13
    > Compiled Path  = '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/secureip'
14
 
15
==============================================================================
16
BEGIN_COMPILATION_MESSAGES(ies:verilog:secureip)
17
ncvlog(64): 14.10-s005: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
18
DEFINE simprims_ver  /home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/simprims_ver
19
|
20
ncvlog: *W,DLCPTH (./cds.lib,1): cds.lib Invalid path '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/simprims_ver' (cds.lib command ignored).
21
DEFINE xpm  /home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/xpm
22
|
23
ncvlog: *W,DLCPTH (./cds.lib,2): cds.lib Invalid path '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/xpm' (cds.lib command ignored).
24
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/gtxe2_channel_fast/gtxe2_channel_fast_001.vp
25
        module secureip.GTXE2_CHANNEL_FAST_WRAP
26
                errors: 0, warnings: 0
27
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/gtxe2_channel_fast/gtxe2_channel_fast_002.vp
28
                errors: 0, warnings: 0
29
                errors: 0, warnings: 0
30
                errors: 0, warnings: 0
31
                errors: 0, warnings: 0
32
                errors: 0, warnings: 0
33
                errors: 0, warnings: 0
34
                errors: 0, warnings: 0
35
                errors: 0, warnings: 0
36
                errors: 0, warnings: 0
37
                errors: 0, warnings: 0
38
                errors: 0, warnings: 0
39
                errors: 0, warnings: 0
40
                errors: 0, warnings: 0
41
                errors: 0, warnings: 0
42
                errors: 0, warnings: 0
43
                errors: 0, warnings: 0
44
                errors: 0, warnings: 0
45
                errors: 0, warnings: 0
46
                errors: 0, warnings: 0
47
                errors: 0, warnings: 0
48
                errors: 0, warnings: 0
49
                errors: 0, warnings: 0
50
                errors: 0, warnings: 0
51
                errors: 0, warnings: 0
52
                errors: 0, warnings: 0
53
                errors: 0, warnings: 0
54
                errors: 0, warnings: 0
55
                errors: 0, warnings: 0
56
                errors: 0, warnings: 0
57
                errors: 0, warnings: 0
58
                errors: 0, warnings: 0
59
                errors: 0, warnings: 0
60
                errors: 0, warnings: 0
61
                errors: 0, warnings: 0
62
                errors: 0, warnings: 0
63
                errors: 0, warnings: 0
64
                errors: 0, warnings: 0
65
                errors: 0, warnings: 0
66
                errors: 0, warnings: 0
67
                errors: 0, warnings: 0
68
                errors: 0, warnings: 0
69
                errors: 0, warnings: 0
70
                errors: 0, warnings: 0
71
                errors: 0, warnings: 0
72
                errors: 0, warnings: 0
73
                errors: 0, warnings: 0
74
                errors: 0, warnings: 0
75
                errors: 0, warnings: 0
76
                errors: 0, warnings: 0
77
                errors: 0, warnings: 0
78
                errors: 0, warnings: 0
79
                errors: 0, warnings: 0
80
                errors: 0, warnings: 0
81
                errors: 0, warnings: 0
82
                errors: 0, warnings: 0
83
                errors: 0, warnings: 0
84
                errors: 0, warnings: 0
85
                errors: 0, warnings: 0
86
                errors: 0, warnings: 0
87
                errors: 0, warnings: 0
88
                errors: 0, warnings: 0
89
                errors: 0, warnings: 0
90
                errors: 0, warnings: 0
91
                errors: 0, warnings: 0
92
                errors: 0, warnings: 0
93
                errors: 0, warnings: 0
94
                errors: 0, warnings: 0
95
                errors: 0, warnings: 0
96
                errors: 0, warnings: 0
97
                errors: 0, warnings: 0
98
                errors: 0, warnings: 0
99
                errors: 0, warnings: 0
100
                errors: 0, warnings: 0
101
                errors: 0, warnings: 0
102
                errors: 0, warnings: 0
103
                errors: 0, warnings: 0
104
                errors: 0, warnings: 0
105
                errors: 0, warnings: 0
106
                errors: 0, warnings: 0
107
                errors: 0, warnings: 0
108
                errors: 0, warnings: 0
109
                errors: 0, warnings: 0
110
                errors: 0, warnings: 0
111
                errors: 0, warnings: 0
112
                errors: 0, warnings: 0
113
                errors: 0, warnings: 0
114
                errors: 0, warnings: 0
115
                errors: 0, warnings: 0
116
                errors: 0, warnings: 0
117
                errors: 0, warnings: 0
118
                errors: 0, warnings: 0
119
                errors: 0, warnings: 0
120
                errors: 0, warnings: 0
121
                errors: 0, warnings: 0
122
                errors: 0, warnings: 0
123
                errors: 0, warnings: 0
124
                errors: 0, warnings: 0
125
                errors: 0, warnings: 0
126
                errors: 0, warnings: 0
127
                errors: 0, warnings: 0
128
                errors: 0, warnings: 0
129
                errors: 0, warnings: 0
130
                errors: 0, warnings: 0
131
                errors: 0, warnings: 0
132
                errors: 0, warnings: 0
133
                errors: 0, warnings: 0
134
                errors: 0, warnings: 0
135
                errors: 0, warnings: 0
136
                errors: 0, warnings: 0
137
                errors: 0, warnings: 0
138
                errors: 0, warnings: 0
139
                errors: 0, warnings: 0
140
                errors: 0, warnings: 0
141
                errors: 0, warnings: 0
142
                errors: 0, warnings: 0
143
                errors: 0, warnings: 0
144
                errors: 0, warnings: 0
145
                errors: 0, warnings: 0
146
                errors: 0, warnings: 0
147
                errors: 0, warnings: 0
148
                errors: 0, warnings: 0
149
                errors: 0, warnings: 0
150
                errors: 0, warnings: 0
151
                errors: 0, warnings: 0
152
                errors: 0, warnings: 0
153
                errors: 0, warnings: 0
154
                errors: 0, warnings: 0
155
                errors: 0, warnings: 0
156
                errors: 0, warnings: 0
157
                errors: 0, warnings: 0
158
                errors: 0, warnings: 0
159
                errors: 0, warnings: 0
160
                errors: 0, warnings: 0
161
                errors: 0, warnings: 0
162
                errors: 0, warnings: 0
163
                errors: 0, warnings: 0
164
                errors: 0, warnings: 0
165
                errors: 0, warnings: 0
166
                errors: 0, warnings: 0
167
                errors: 0, warnings: 0
168
                errors: 0, warnings: 0
169
                errors: 0, warnings: 0
170
                errors: 0, warnings: 0
171
                errors: 0, warnings: 0
172
                errors: 0, warnings: 0
173
                errors: 0, warnings: 0
174
                errors: 0, warnings: 0
175
                errors: 0, warnings: 0
176
                errors: 0, warnings: 0
177
                errors: 0, warnings: 0
178
                errors: 0, warnings: 0
179
                errors: 0, warnings: 0
180
                errors: 0, warnings: 0
181
                errors: 0, warnings: 0
182
                errors: 0, warnings: 0
183
                errors: 0, warnings: 0
184
                errors: 0, warnings: 0
185
                errors: 0, warnings: 0
186
                errors: 0, warnings: 0
187
                errors: 0, warnings: 0
188
                errors: 0, warnings: 0
189
                errors: 0, warnings: 0
190
                errors: 0, warnings: 0
191
                errors: 0, warnings: 0
192
                errors: 0, warnings: 0
193
                errors: 0, warnings: 0
194
                errors: 0, warnings: 0
195
                errors: 0, warnings: 0
196
                errors: 0, warnings: 0
197
                errors: 0, warnings: 0
198
                errors: 0, warnings: 0
199
                errors: 0, warnings: 0
200
                errors: 0, warnings: 0
201
                errors: 0, warnings: 0
202
                errors: 0, warnings: 0
203
                errors: 0, warnings: 0
204
                errors: 0, warnings: 0
205
                errors: 0, warnings: 0
206
                errors: 0, warnings: 0
207
                errors: 0, warnings: 0
208
                errors: 0, warnings: 0
209
                errors: 0, warnings: 0
210
                errors: 0, warnings: 0
211
                errors: 0, warnings: 0
212
                errors: 0, warnings: 0
213
                errors: 0, warnings: 0
214
                errors: 0, warnings: 0
215
                errors: 0, warnings: 0
216
                errors: 0, warnings: 0
217
                errors: 0, warnings: 0
218
                errors: 0, warnings: 0
219
                errors: 0, warnings: 0
220
                errors: 0, warnings: 0
221
                errors: 0, warnings: 0
222
                errors: 0, warnings: 0
223
                errors: 0, warnings: 0
224
                errors: 0, warnings: 0
225
                errors: 0, warnings: 0
226
                errors: 0, warnings: 0
227
                errors: 0, warnings: 0
228
                errors: 0, warnings: 0
229
                errors: 0, warnings: 0
230
                errors: 0, warnings: 0
231
                errors: 0, warnings: 0
232
                errors: 0, warnings: 0
233
                errors: 0, warnings: 0
234
                errors: 0, warnings: 0
235
                errors: 0, warnings: 0
236
                errors: 0, warnings: 0
237
                errors: 0, warnings: 0
238
                errors: 0, warnings: 0
239
                errors: 0, warnings: 0
240
                errors: 0, warnings: 0
241
                errors: 0, warnings: 0
242
                errors: 0, warnings: 0
243
                errors: 0, warnings: 0
244
                errors: 0, warnings: 0
245
                errors: 0, warnings: 0
246
                errors: 0, warnings: 0
247
                errors: 0, warnings: 0
248
                errors: 0, warnings: 0
249
                errors: 0, warnings: 0
250
                errors: 0, warnings: 0
251
                errors: 0, warnings: 0
252
                errors: 0, warnings: 0
253
                errors: 0, warnings: 0
254
                errors: 0, warnings: 0
255
                errors: 0, warnings: 0
256
                errors: 0, warnings: 0
257
                errors: 0, warnings: 0
258
                errors: 0, warnings: 0
259
                errors: 0, warnings: 0
260
                errors: 0, warnings: 0
261
                errors: 0, warnings: 0
262
                errors: 0, warnings: 0
263
                errors: 0, warnings: 0
264
                errors: 0, warnings: 0
265
                errors: 0, warnings: 0
266
                errors: 0, warnings: 0
267
                errors: 0, warnings: 0
268
                errors: 0, warnings: 0
269
                errors: 0, warnings: 0
270
                errors: 0, warnings: 0
271
                errors: 0, warnings: 0
272
                errors: 0, warnings: 0
273
                errors: 0, warnings: 0
274
                errors: 0, warnings: 0
275
                errors: 0, warnings: 0
276
                errors: 0, warnings: 0
277
                errors: 0, warnings: 0
278
                errors: 0, warnings: 0
279
                errors: 0, warnings: 0
280
                errors: 0, warnings: 0
281
                errors: 0, warnings: 0
282
                errors: 0, warnings: 0
283
                errors: 0, warnings: 0
284
                errors: 0, warnings: 0
285
                errors: 0, warnings: 0
286
                errors: 0, warnings: 0
287
                errors: 0, warnings: 0
288
                errors: 0, warnings: 0
289
                errors: 0, warnings: 0
290
                errors: 0, warnings: 0
291
                errors: 0, warnings: 0
292
                errors: 0, warnings: 0
293
                errors: 0, warnings: 0
294
                errors: 0, warnings: 0
295
                errors: 0, warnings: 0
296
                errors: 0, warnings: 0
297
                errors: 0, warnings: 0
298
                errors: 0, warnings: 0
299
                errors: 0, warnings: 0
300
                errors: 0, warnings: 0
301
                errors: 0, warnings: 0
302
                errors: 0, warnings: 0
303
                errors: 0, warnings: 0
304
                errors: 0, warnings: 0
305
                errors: 0, warnings: 0
306
                errors: 0, warnings: 0
307
                errors: 0, warnings: 0
308
                errors: 0, warnings: 0
309
                errors: 0, warnings: 0
310
                errors: 0, warnings: 0
311
                errors: 0, warnings: 0
312
                errors: 0, warnings: 0
313
                errors: 0, warnings: 0
314
                errors: 0, warnings: 0
315
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/gtxe2_channel/gtxe2_channel_001.vp
316
        module secureip.GTXE2_CHANNEL_WRAP
317
                errors: 0, warnings: 0
318
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/gtxe2_channel/gtxe2_channel_002.vp
319
                errors: 0, warnings: 0
320
                errors: 0, warnings: 0
321
                errors: 0, warnings: 0
322
                errors: 0, warnings: 0
323
                errors: 0, warnings: 0
324
                errors: 0, warnings: 0
325
                errors: 0, warnings: 0
326
                errors: 0, warnings: 0
327
                errors: 0, warnings: 0
328
                errors: 0, warnings: 0
329
                errors: 0, warnings: 0
330
                errors: 0, warnings: 0
331
                errors: 0, warnings: 0
332
                errors: 0, warnings: 0
333
                errors: 0, warnings: 0
334
                errors: 0, warnings: 0
335
                errors: 0, warnings: 0
336
                errors: 0, warnings: 0
337
                errors: 0, warnings: 0
338
                errors: 0, warnings: 0
339
                errors: 0, warnings: 0
340
                errors: 0, warnings: 0
341
                errors: 0, warnings: 0
342
                errors: 0, warnings: 0
343
                errors: 0, warnings: 0
344
                errors: 0, warnings: 0
345
                errors: 0, warnings: 0
346
                errors: 0, warnings: 0
347
                errors: 0, warnings: 0
348
                errors: 0, warnings: 0
349
                errors: 0, warnings: 0
350
                errors: 0, warnings: 0
351
                errors: 0, warnings: 0
352
                errors: 0, warnings: 0
353
                errors: 0, warnings: 0
354
                errors: 0, warnings: 0
355
                errors: 0, warnings: 0
356
                errors: 0, warnings: 0
357
                errors: 0, warnings: 0
358
                errors: 0, warnings: 0
359
                errors: 0, warnings: 0
360
                errors: 0, warnings: 0
361
                errors: 0, warnings: 0
362
                errors: 0, warnings: 0
363
                errors: 0, warnings: 0
364
                errors: 0, warnings: 0
365
                errors: 0, warnings: 0
366
                errors: 0, warnings: 0
367
                errors: 0, warnings: 0
368
                errors: 0, warnings: 0
369
                errors: 0, warnings: 0
370
                errors: 0, warnings: 0
371
                errors: 0, warnings: 0
372
                errors: 0, warnings: 0
373
                errors: 0, warnings: 0
374
                errors: 0, warnings: 0
375
                errors: 0, warnings: 0
376
                errors: 0, warnings: 0
377
                errors: 0, warnings: 0
378
                errors: 0, warnings: 0
379
                errors: 0, warnings: 0
380
                errors: 0, warnings: 0
381
                errors: 0, warnings: 0
382
                errors: 0, warnings: 0
383
                errors: 0, warnings: 0
384
                errors: 0, warnings: 0
385
                errors: 0, warnings: 0
386
                errors: 0, warnings: 0
387
                errors: 0, warnings: 0
388
                errors: 0, warnings: 0
389
                errors: 0, warnings: 0
390
                errors: 0, warnings: 0
391
                errors: 0, warnings: 0
392
                errors: 0, warnings: 0
393
                errors: 0, warnings: 0
394
                errors: 0, warnings: 0
395
                errors: 0, warnings: 0
396
                errors: 0, warnings: 0
397
                errors: 0, warnings: 0
398
                errors: 0, warnings: 0
399
                errors: 0, warnings: 0
400
                errors: 0, warnings: 0
401
                errors: 0, warnings: 0
402
                errors: 0, warnings: 0
403
                errors: 0, warnings: 0
404
                errors: 0, warnings: 0
405
                errors: 0, warnings: 0
406
                errors: 0, warnings: 0
407
                errors: 0, warnings: 0
408
                errors: 0, warnings: 0
409
                errors: 0, warnings: 0
410
                errors: 0, warnings: 0
411
                errors: 0, warnings: 0
412
                errors: 0, warnings: 0
413
                errors: 0, warnings: 0
414
                errors: 0, warnings: 0
415
                errors: 0, warnings: 0
416
                errors: 0, warnings: 0
417
                errors: 0, warnings: 0
418
                errors: 0, warnings: 0
419
                errors: 0, warnings: 0
420
                errors: 0, warnings: 0
421
                errors: 0, warnings: 0
422
                errors: 0, warnings: 0
423
                errors: 0, warnings: 0
424
                errors: 0, warnings: 0
425
                errors: 0, warnings: 0
426
                errors: 0, warnings: 0
427
                errors: 0, warnings: 0
428
                errors: 0, warnings: 0
429
                errors: 0, warnings: 0
430
                errors: 0, warnings: 0
431
                errors: 0, warnings: 0
432
                errors: 0, warnings: 0
433
                errors: 0, warnings: 0
434
                errors: 0, warnings: 0
435
                errors: 0, warnings: 0
436
                errors: 0, warnings: 0
437
                errors: 0, warnings: 0
438
                errors: 0, warnings: 0
439
                errors: 0, warnings: 0
440
                errors: 0, warnings: 0
441
                errors: 0, warnings: 0
442
                errors: 0, warnings: 0
443
                errors: 0, warnings: 0
444
                errors: 0, warnings: 0
445
                errors: 0, warnings: 0
446
                errors: 0, warnings: 0
447
                errors: 0, warnings: 0
448
                errors: 0, warnings: 0
449
                errors: 0, warnings: 0
450
                errors: 0, warnings: 0
451
                errors: 0, warnings: 0
452
                errors: 0, warnings: 0
453
                errors: 0, warnings: 0
454
                errors: 0, warnings: 0
455
                errors: 0, warnings: 0
456
                errors: 0, warnings: 0
457
                errors: 0, warnings: 0
458
                errors: 0, warnings: 0
459
                errors: 0, warnings: 0
460
                errors: 0, warnings: 0
461
                errors: 0, warnings: 0
462
                errors: 0, warnings: 0
463
                errors: 0, warnings: 0
464
                errors: 0, warnings: 0
465
                errors: 0, warnings: 0
466
                errors: 0, warnings: 0
467
                errors: 0, warnings: 0
468
                errors: 0, warnings: 0
469
                errors: 0, warnings: 0
470
                errors: 0, warnings: 0
471
                errors: 0, warnings: 0
472
                errors: 0, warnings: 0
473
                errors: 0, warnings: 0
474
                errors: 0, warnings: 0
475
                errors: 0, warnings: 0
476
                errors: 0, warnings: 0
477
                errors: 0, warnings: 0
478
                errors: 0, warnings: 0
479
                errors: 0, warnings: 0
480
                errors: 0, warnings: 0
481
                errors: 0, warnings: 0
482
                errors: 0, warnings: 0
483
                errors: 0, warnings: 0
484
                errors: 0, warnings: 0
485
                errors: 0, warnings: 0
486
                errors: 0, warnings: 0
487
                errors: 0, warnings: 0
488
                errors: 0, warnings: 0
489
                errors: 0, warnings: 0
490
                errors: 0, warnings: 0
491
                errors: 0, warnings: 0
492
                errors: 0, warnings: 0
493
                errors: 0, warnings: 0
494
                errors: 0, warnings: 0
495
                errors: 0, warnings: 0
496
                errors: 0, warnings: 0
497
                errors: 0, warnings: 0
498
                errors: 0, warnings: 0
499
                errors: 0, warnings: 0
500
                errors: 0, warnings: 0
501
                errors: 0, warnings: 0
502
                errors: 0, warnings: 0
503
                errors: 0, warnings: 0
504
                errors: 0, warnings: 0
505
                errors: 0, warnings: 0
506
                errors: 0, warnings: 0
507
                errors: 0, warnings: 0
508
                errors: 0, warnings: 0
509
                errors: 0, warnings: 0
510
                errors: 0, warnings: 0
511
                errors: 0, warnings: 0
512
                errors: 0, warnings: 0
513
                errors: 0, warnings: 0
514
                errors: 0, warnings: 0
515
                errors: 0, warnings: 0
516
                errors: 0, warnings: 0
517
                errors: 0, warnings: 0
518
                errors: 0, warnings: 0
519
                errors: 0, warnings: 0
520
                errors: 0, warnings: 0
521
                errors: 0, warnings: 0
522
                errors: 0, warnings: 0
523
                errors: 0, warnings: 0
524
                errors: 0, warnings: 0
525
                errors: 0, warnings: 0
526
                errors: 0, warnings: 0
527
                errors: 0, warnings: 0
528
                errors: 0, warnings: 0
529
                errors: 0, warnings: 0
530
                errors: 0, warnings: 0
531
                errors: 0, warnings: 0
532
                errors: 0, warnings: 0
533
                errors: 0, warnings: 0
534
                errors: 0, warnings: 0
535
                errors: 0, warnings: 0
536
                errors: 0, warnings: 0
537
                errors: 0, warnings: 0
538
                errors: 0, warnings: 0
539
                errors: 0, warnings: 0
540
                errors: 0, warnings: 0
541
                errors: 0, warnings: 0
542
                errors: 0, warnings: 0
543
                errors: 0, warnings: 0
544
                errors: 0, warnings: 0
545
                errors: 0, warnings: 0
546
                errors: 0, warnings: 0
547
                errors: 0, warnings: 0
548
                errors: 0, warnings: 0
549
                errors: 0, warnings: 0
550
                errors: 0, warnings: 0
551
                errors: 0, warnings: 0
552
                errors: 0, warnings: 0
553
                errors: 0, warnings: 0
554
                errors: 0, warnings: 0
555
                errors: 0, warnings: 0
556
                errors: 0, warnings: 0
557
                errors: 0, warnings: 0
558
                errors: 0, warnings: 0
559
                errors: 0, warnings: 0
560
                errors: 0, warnings: 0
561
                errors: 0, warnings: 0
562
                errors: 0, warnings: 0
563
                errors: 0, warnings: 0
564
                errors: 0, warnings: 0
565
                errors: 0, warnings: 0
566
                errors: 0, warnings: 0
567
                errors: 0, warnings: 0
568
                errors: 0, warnings: 0
569
                errors: 0, warnings: 0
570
                errors: 0, warnings: 0
571
                errors: 0, warnings: 0
572
                errors: 0, warnings: 0
573
                errors: 0, warnings: 0
574
                errors: 0, warnings: 0
575
                errors: 0, warnings: 0
576
                errors: 0, warnings: 0
577
                errors: 0, warnings: 0
578
                errors: 0, warnings: 0
579
                errors: 0, warnings: 0
580
                errors: 0, warnings: 0
581
                errors: 0, warnings: 0
582
                errors: 0, warnings: 0
583
                errors: 0, warnings: 0
584
                errors: 0, warnings: 0
585
                errors: 0, warnings: 0
586
                errors: 0, warnings: 0
587
                errors: 0, warnings: 0
588
                errors: 0, warnings: 0
589
                errors: 0, warnings: 0
590
                errors: 0, warnings: 0
591
                errors: 0, warnings: 0
592
                errors: 0, warnings: 0
593
                errors: 0, warnings: 0
594
                errors: 0, warnings: 0
595
                errors: 0, warnings: 0
596
                errors: 0, warnings: 0
597
                errors: 0, warnings: 0
598
                errors: 0, warnings: 0
599
                errors: 0, warnings: 0
600
                errors: 0, warnings: 0
601
                errors: 0, warnings: 0
602
                errors: 0, warnings: 0
603
                errors: 0, warnings: 0
604
                errors: 0, warnings: 0
605
                errors: 0, warnings: 0
606
                errors: 0, warnings: 0
607
                errors: 0, warnings: 0
608
                errors: 0, warnings: 0
609
                errors: 0, warnings: 0
610
                errors: 0, warnings: 0
611
                errors: 0, warnings: 0
612
                errors: 0, warnings: 0
613
                errors: 0, warnings: 0
614
                errors: 0, warnings: 0
615
                errors: 0, warnings: 0
616
                errors: 0, warnings: 0
617
                errors: 0, warnings: 0
618
                errors: 0, warnings: 0
619
                errors: 0, warnings: 0
620
                errors: 0, warnings: 0
621
                errors: 0, warnings: 0
622
                errors: 0, warnings: 0
623
                errors: 0, warnings: 0
624
                errors: 0, warnings: 0
625
                errors: 0, warnings: 0
626
                errors: 0, warnings: 0
627
                errors: 0, warnings: 0
628
                errors: 0, warnings: 0
629
                errors: 0, warnings: 0
630
                errors: 0, warnings: 0
631
                errors: 0, warnings: 0
632
                errors: 0, warnings: 0
633
                errors: 0, warnings: 0
634
                errors: 0, warnings: 0
635
                errors: 0, warnings: 0
636
                errors: 0, warnings: 0
637
                errors: 0, warnings: 0
638
                errors: 0, warnings: 0
639
                errors: 0, warnings: 0
640
                errors: 0, warnings: 0
641
                errors: 0, warnings: 0
642
                errors: 0, warnings: 0
643
                errors: 0, warnings: 0
644
                errors: 0, warnings: 0
645
                errors: 0, warnings: 0
646
                errors: 0, warnings: 0
647
                errors: 0, warnings: 0
648
                errors: 0, warnings: 0
649
                errors: 0, warnings: 0
650
                errors: 0, warnings: 0
651
                errors: 0, warnings: 0
652
                errors: 0, warnings: 0
653
                errors: 0, warnings: 0
654
                errors: 0, warnings: 0
655
                errors: 0, warnings: 0
656
                errors: 0, warnings: 0
657
                errors: 0, warnings: 0
658
                errors: 0, warnings: 0
659
                errors: 0, warnings: 0
660
                errors: 0, warnings: 0
661
                errors: 0, warnings: 0
662
                errors: 0, warnings: 0
663
                errors: 0, warnings: 0
664
                errors: 0, warnings: 0
665
                errors: 0, warnings: 0
666
                errors: 0, warnings: 0
667
                errors: 0, warnings: 0
668
                errors: 0, warnings: 0
669
                errors: 0, warnings: 0
670
                errors: 0, warnings: 0
671
                errors: 0, warnings: 0
672
                errors: 0, warnings: 0
673
                errors: 0, warnings: 0
674
                errors: 0, warnings: 0
675
                errors: 0, warnings: 0
676
                errors: 0, warnings: 0
677
                errors: 0, warnings: 0
678
                errors: 0, warnings: 0
679
                errors: 0, warnings: 0
680
                errors: 0, warnings: 0
681
                errors: 0, warnings: 0
682
                errors: 0, warnings: 0
683
                errors: 0, warnings: 0
684
                errors: 0, warnings: 0
685
                errors: 0, warnings: 0
686
                errors: 0, warnings: 0
687
                errors: 0, warnings: 0
688
                errors: 0, warnings: 0
689
                errors: 0, warnings: 0
690
                errors: 0, warnings: 0
691
                errors: 0, warnings: 0
692
                errors: 0, warnings: 0
693
                errors: 0, warnings: 0
694
                errors: 0, warnings: 0
695
                errors: 0, warnings: 0
696
                errors: 0, warnings: 0
697
                errors: 0, warnings: 0
698
                errors: 0, warnings: 0
699
                errors: 0, warnings: 0
700
                errors: 0, warnings: 0
701
                errors: 0, warnings: 0
702
                errors: 0, warnings: 0
703
                errors: 0, warnings: 0
704
                errors: 0, warnings: 0
705
                errors: 0, warnings: 0
706
                errors: 0, warnings: 0
707
                errors: 0, warnings: 0
708
                errors: 0, warnings: 0
709
                errors: 0, warnings: 0
710
                errors: 0, warnings: 0
711
                errors: 0, warnings: 0
712
                errors: 0, warnings: 0
713
                errors: 0, warnings: 0
714
                errors: 0, warnings: 0
715
                errors: 0, warnings: 0
716
                errors: 0, warnings: 0
717
                errors: 0, warnings: 0
718
                errors: 0, warnings: 0
719
                errors: 0, warnings: 0
720
                errors: 0, warnings: 0
721
                errors: 0, warnings: 0
722
                errors: 0, warnings: 0
723
                errors: 0, warnings: 0
724
                errors: 0, warnings: 0
725
                errors: 0, warnings: 0
726
                errors: 0, warnings: 0
727
                errors: 0, warnings: 0
728
                errors: 0, warnings: 0
729
                errors: 0, warnings: 0
730
                errors: 0, warnings: 0
731
                errors: 0, warnings: 0
732
                errors: 0, warnings: 0
733
                errors: 0, warnings: 0
734
                errors: 0, warnings: 0
735
                errors: 0, warnings: 0
736
                errors: 0, warnings: 0
737
                errors: 0, warnings: 0
738
                errors: 0, warnings: 0
739
                errors: 0, warnings: 0
740
                errors: 0, warnings: 0
741
                errors: 0, warnings: 0
742
                errors: 0, warnings: 0
743
                errors: 0, warnings: 0
744
                errors: 0, warnings: 0
745
                errors: 0, warnings: 0
746
                errors: 0, warnings: 0
747
                errors: 0, warnings: 0
748
                errors: 0, warnings: 0
749
                errors: 0, warnings: 0
750
                errors: 0, warnings: 0
751
                errors: 0, warnings: 0
752
                errors: 0, warnings: 0
753
                errors: 0, warnings: 0
754
                errors: 0, warnings: 0
755
                errors: 0, warnings: 0
756
                errors: 0, warnings: 0
757
                errors: 0, warnings: 0
758
                errors: 0, warnings: 0
759
                errors: 0, warnings: 0
760
                errors: 0, warnings: 0
761
                errors: 0, warnings: 0
762
                errors: 0, warnings: 0
763
                errors: 0, warnings: 0
764
                errors: 0, warnings: 0
765
                errors: 0, warnings: 0
766
                errors: 0, warnings: 0
767
                errors: 0, warnings: 0
768
                errors: 0, warnings: 0
769
                errors: 0, warnings: 0
770
                errors: 0, warnings: 0
771
                errors: 0, warnings: 0
772
                errors: 0, warnings: 0
773
                errors: 0, warnings: 0
774
                errors: 0, warnings: 0
775
                errors: 0, warnings: 0
776
                errors: 0, warnings: 0
777
                errors: 0, warnings: 0
778
                errors: 0, warnings: 0
779
                errors: 0, warnings: 0
780
                errors: 0, warnings: 0
781
                errors: 0, warnings: 0
782
                errors: 0, warnings: 0
783
                errors: 0, warnings: 0
784
                errors: 0, warnings: 0
785
                errors: 0, warnings: 0
786
                errors: 0, warnings: 0
787
                errors: 0, warnings: 0
788
                errors: 0, warnings: 0
789
                errors: 0, warnings: 0
790
                errors: 0, warnings: 0
791
                errors: 0, warnings: 0
792
                errors: 0, warnings: 0
793
                errors: 0, warnings: 0
794
                errors: 0, warnings: 0
795
                errors: 0, warnings: 0
796
                errors: 0, warnings: 0
797
                errors: 0, warnings: 0
798
                errors: 0, warnings: 0
799
                errors: 0, warnings: 0
800
                errors: 0, warnings: 0
801
                errors: 0, warnings: 0
802
                errors: 0, warnings: 0
803
                errors: 0, warnings: 0
804
                errors: 0, warnings: 0
805
                errors: 0, warnings: 0
806
                errors: 0, warnings: 0
807
                errors: 0, warnings: 0
808
                errors: 0, warnings: 0
809
                errors: 0, warnings: 0
810
                errors: 0, warnings: 0
811
                errors: 0, warnings: 0
812
                errors: 0, warnings: 0
813
                errors: 0, warnings: 0
814
                errors: 0, warnings: 0
815
                errors: 0, warnings: 0
816
                errors: 0, warnings: 0
817
                errors: 0, warnings: 0
818
                errors: 0, warnings: 0
819
                errors: 0, warnings: 0
820
                errors: 0, warnings: 0
821
                errors: 0, warnings: 0
822
                errors: 0, warnings: 0
823
                errors: 0, warnings: 0
824
                errors: 0, warnings: 0
825
                errors: 0, warnings: 0
826
                errors: 0, warnings: 0
827
                errors: 0, warnings: 0
828
                errors: 0, warnings: 0
829
                errors: 0, warnings: 0
830
                errors: 0, warnings: 0
831
                errors: 0, warnings: 0
832
                errors: 0, warnings: 0
833
                errors: 0, warnings: 0
834
                errors: 0, warnings: 0
835
                errors: 0, warnings: 0
836
                errors: 0, warnings: 0
837
                errors: 0, warnings: 0
838
                errors: 0, warnings: 0
839
                errors: 0, warnings: 0
840
                errors: 0, warnings: 0
841
                errors: 0, warnings: 0
842
                errors: 0, warnings: 0
843
                errors: 0, warnings: 0
844
                errors: 0, warnings: 0
845
                errors: 0, warnings: 0
846
                errors: 0, warnings: 0
847
                errors: 0, warnings: 0
848
                errors: 0, warnings: 0
849
                errors: 0, warnings: 0
850
                errors: 0, warnings: 0
851
                errors: 0, warnings: 0
852
                errors: 0, warnings: 0
853
                errors: 0, warnings: 0
854
                errors: 0, warnings: 0
855
                errors: 0, warnings: 0
856
                errors: 0, warnings: 0
857
                errors: 0, warnings: 0
858
                errors: 0, warnings: 0
859
                errors: 0, warnings: 0
860
                errors: 0, warnings: 0
861
                errors: 0, warnings: 0
862
                errors: 0, warnings: 0
863
                errors: 0, warnings: 0
864
                errors: 0, warnings: 0
865
                errors: 0, warnings: 0
866
                errors: 0, warnings: 0
867
                errors: 0, warnings: 0
868
                errors: 0, warnings: 0
869
                errors: 0, warnings: 0
870
                errors: 0, warnings: 0
871
                errors: 0, warnings: 0
872
                errors: 0, warnings: 0
873
                errors: 0, warnings: 0
874
                errors: 0, warnings: 0
875
                errors: 0, warnings: 0
876
                errors: 0, warnings: 0
877
                errors: 0, warnings: 0
878
                errors: 0, warnings: 0
879
                errors: 0, warnings: 0
880
                errors: 0, warnings: 0
881
                errors: 0, warnings: 0
882
                errors: 0, warnings: 0
883
                errors: 0, warnings: 0
884
                errors: 0, warnings: 0
885
                errors: 0, warnings: 0
886
                errors: 0, warnings: 0
887
                errors: 0, warnings: 0
888
                errors: 0, warnings: 0
889
                errors: 0, warnings: 0
890
                errors: 0, warnings: 0
891
                errors: 0, warnings: 0
892
                errors: 0, warnings: 0
893
                errors: 0, warnings: 0
894
                errors: 0, warnings: 0
895
                errors: 0, warnings: 0
896
                errors: 0, warnings: 0
897
                errors: 0, warnings: 0
898
                errors: 0, warnings: 0
899
                errors: 0, warnings: 0
900
                errors: 0, warnings: 0
901
                errors: 0, warnings: 0
902
                errors: 0, warnings: 0
903
                errors: 0, warnings: 0
904
                errors: 0, warnings: 0
905
                errors: 0, warnings: 0
906
                errors: 0, warnings: 0
907
                errors: 0, warnings: 0
908
                errors: 0, warnings: 0
909
                errors: 0, warnings: 0
910
                errors: 0, warnings: 0
911
                errors: 0, warnings: 0
912
                errors: 0, warnings: 0
913
                errors: 0, warnings: 0
914
                errors: 0, warnings: 0
915
                errors: 0, warnings: 0
916
                errors: 0, warnings: 0
917
                errors: 0, warnings: 0
918
                errors: 0, warnings: 0
919
                errors: 0, warnings: 0
920
                errors: 0, warnings: 0
921
                errors: 0, warnings: 0
922
                errors: 0, warnings: 0
923
                errors: 0, warnings: 0
924
                errors: 0, warnings: 0
925
                errors: 0, warnings: 0
926
                errors: 0, warnings: 0
927
                errors: 0, warnings: 0
928
                errors: 0, warnings: 0
929
                errors: 0, warnings: 0
930
                errors: 0, warnings: 0
931
                errors: 0, warnings: 0
932
                errors: 0, warnings: 0
933
                errors: 0, warnings: 0
934
                errors: 0, warnings: 0
935
                errors: 0, warnings: 0
936
                errors: 0, warnings: 0
937
                errors: 0, warnings: 0
938
                errors: 0, warnings: 0
939
                errors: 0, warnings: 0
940
                errors: 0, warnings: 0
941
                errors: 0, warnings: 0
942
                errors: 0, warnings: 0
943
                errors: 0, warnings: 0
944
                errors: 0, warnings: 0
945
                errors: 0, warnings: 0
946
                errors: 0, warnings: 0
947
                errors: 0, warnings: 0
948
                errors: 0, warnings: 0
949
                errors: 0, warnings: 0
950
                errors: 0, warnings: 0
951
                errors: 0, warnings: 0
952
                errors: 0, warnings: 0
953
                errors: 0, warnings: 0
954
                errors: 0, warnings: 0
955
                errors: 0, warnings: 0
956
                errors: 0, warnings: 0
957
                errors: 0, warnings: 0
958
                errors: 0, warnings: 0
959
                errors: 0, warnings: 0
960
                errors: 0, warnings: 0
961
                errors: 0, warnings: 0
962
                errors: 0, warnings: 0
963
                errors: 0, warnings: 0
964
                errors: 0, warnings: 0
965
                errors: 0, warnings: 0
966
                errors: 0, warnings: 0
967
                errors: 0, warnings: 0
968
                errors: 0, warnings: 0
969
                errors: 0, warnings: 0
970
                errors: 0, warnings: 0
971
                errors: 0, warnings: 0
972
                errors: 0, warnings: 0
973
                errors: 0, warnings: 0
974
                errors: 0, warnings: 0
975
                errors: 0, warnings: 0
976
                errors: 0, warnings: 0
977
                errors: 0, warnings: 0
978
                errors: 0, warnings: 0
979
                errors: 0, warnings: 0
980
                errors: 0, warnings: 0
981
                errors: 0, warnings: 0
982
                errors: 0, warnings: 0
983
                errors: 0, warnings: 0
984
                errors: 0, warnings: 0
985
                errors: 0, warnings: 0
986
                errors: 0, warnings: 0
987
                errors: 0, warnings: 0
988
                errors: 0, warnings: 0
989
                errors: 0, warnings: 0
990
                errors: 0, warnings: 0
991
                errors: 0, warnings: 0
992
                errors: 0, warnings: 0
993
                errors: 0, warnings: 0
994
                errors: 0, warnings: 0
995
                errors: 0, warnings: 0
996
                errors: 0, warnings: 0
997
                errors: 0, warnings: 0
998
                errors: 0, warnings: 0
999
                errors: 0, warnings: 0
1000
                errors: 0, warnings: 0
1001
                errors: 0, warnings: 0
1002
                errors: 0, warnings: 0
1003
                errors: 0, warnings: 0
1004
                errors: 0, warnings: 0
1005
                errors: 0, warnings: 0
1006
                errors: 0, warnings: 0
1007
                errors: 0, warnings: 0
1008
                errors: 0, warnings: 0
1009
                errors: 0, warnings: 0
1010
                errors: 0, warnings: 0
1011
                errors: 0, warnings: 0
1012
                errors: 0, warnings: 0
1013
                errors: 0, warnings: 0
1014
                errors: 0, warnings: 0
1015
                errors: 0, warnings: 0
1016
                errors: 0, warnings: 0
1017
                errors: 0, warnings: 0
1018
                errors: 0, warnings: 0
1019
                errors: 0, warnings: 0
1020
                errors: 0, warnings: 0
1021
                errors: 0, warnings: 0
1022
                errors: 0, warnings: 0
1023
                errors: 0, warnings: 0
1024
                errors: 0, warnings: 0
1025
                errors: 0, warnings: 0
1026
                errors: 0, warnings: 0
1027
                errors: 0, warnings: 0
1028
                errors: 0, warnings: 0
1029
                errors: 0, warnings: 0
1030
                errors: 0, warnings: 0
1031
                errors: 0, warnings: 0
1032
                errors: 0, warnings: 0
1033
                errors: 0, warnings: 0
1034
                errors: 0, warnings: 0
1035
                errors: 0, warnings: 0
1036
                errors: 0, warnings: 0
1037
                errors: 0, warnings: 0
1038
                errors: 0, warnings: 0
1039
                errors: 0, warnings: 0
1040
                errors: 0, warnings: 0
1041
                errors: 0, warnings: 0
1042
                errors: 0, warnings: 0
1043
                errors: 0, warnings: 0
1044
                errors: 0, warnings: 0
1045
                errors: 0, warnings: 0
1046
                errors: 0, warnings: 0
1047
                errors: 0, warnings: 0
1048
                errors: 0, warnings: 0
1049
                errors: 0, warnings: 0
1050
                errors: 0, warnings: 0
1051
                errors: 0, warnings: 0
1052
                errors: 0, warnings: 0
1053
                errors: 0, warnings: 0
1054
                errors: 0, warnings: 0
1055
                errors: 0, warnings: 0
1056
                errors: 0, warnings: 0
1057
                errors: 0, warnings: 0
1058
                errors: 0, warnings: 0
1059
                errors: 0, warnings: 0
1060
                errors: 0, warnings: 0
1061
                errors: 0, warnings: 0
1062
                errors: 0, warnings: 0
1063
                errors: 0, warnings: 0
1064
                errors: 0, warnings: 0
1065
                errors: 0, warnings: 0
1066
                errors: 0, warnings: 0
1067
                errors: 0, warnings: 0
1068
                errors: 0, warnings: 0
1069
                errors: 0, warnings: 0
1070
                errors: 0, warnings: 0
1071
                errors: 0, warnings: 0
1072
                errors: 0, warnings: 0
1073
                errors: 0, warnings: 0
1074
                errors: 0, warnings: 0
1075
                errors: 0, warnings: 0
1076
                errors: 0, warnings: 0
1077
                errors: 0, warnings: 0
1078
                errors: 0, warnings: 0
1079
                errors: 0, warnings: 0
1080
                errors: 0, warnings: 0
1081
                errors: 0, warnings: 0
1082
                errors: 0, warnings: 0
1083
                errors: 0, warnings: 0
1084
                errors: 0, warnings: 0
1085
                errors: 0, warnings: 0
1086
                errors: 0, warnings: 0
1087
                errors: 0, warnings: 0
1088
                errors: 0, warnings: 0
1089
                errors: 0, warnings: 0
1090
                errors: 0, warnings: 0
1091
                errors: 0, warnings: 0
1092
                errors: 0, warnings: 0
1093
                errors: 0, warnings: 0
1094
                errors: 0, warnings: 0
1095
                errors: 0, warnings: 0
1096
                errors: 0, warnings: 0
1097
                errors: 0, warnings: 0
1098
                errors: 0, warnings: 0
1099
                errors: 0, warnings: 0
1100
                errors: 0, warnings: 0
1101
                errors: 0, warnings: 0
1102
                errors: 0, warnings: 0
1103
                errors: 0, warnings: 0
1104
                errors: 0, warnings: 0
1105
                errors: 0, warnings: 0
1106
                errors: 0, warnings: 0
1107
                errors: 0, warnings: 0
1108
                errors: 0, warnings: 0
1109
                errors: 0, warnings: 0
1110
                errors: 0, warnings: 0
1111
                errors: 0, warnings: 0
1112
                errors: 0, warnings: 0
1113
                errors: 0, warnings: 0
1114
                errors: 0, warnings: 0
1115
                errors: 0, warnings: 0
1116
                errors: 0, warnings: 0
1117
                errors: 0, warnings: 0
1118
                errors: 0, warnings: 0
1119
                errors: 0, warnings: 0
1120
                errors: 0, warnings: 0
1121
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/gtxe2_common/gtxe2_common_001.vp
1122
        module secureip.GTXE2_COMMON_WRAP
1123
                errors: 0, warnings: 0
1124
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/gtxe2_common/gtxe2_common_002.vp
1125
                errors: 0, warnings: 0
1126
                errors: 0, warnings: 0
1127
                errors: 0, warnings: 0
1128
                errors: 0, warnings: 0
1129
                errors: 0, warnings: 0
1130
                errors: 0, warnings: 0
1131
                errors: 0, warnings: 0
1132
                errors: 0, warnings: 0
1133
                errors: 0, warnings: 0
1134
                errors: 0, warnings: 0
1135
                errors: 0, warnings: 0
1136
                errors: 0, warnings: 0
1137
                errors: 0, warnings: 0
1138
                errors: 0, warnings: 0
1139
                errors: 0, warnings: 0
1140
                errors: 0, warnings: 0
1141
                errors: 0, warnings: 0
1142
                errors: 0, warnings: 0
1143
                errors: 0, warnings: 0
1144
                errors: 0, warnings: 0
1145
                errors: 0, warnings: 0
1146
                errors: 0, warnings: 0
1147
                errors: 0, warnings: 0
1148
                errors: 0, warnings: 0
1149
                errors: 0, warnings: 0
1150
                errors: 0, warnings: 0
1151
                errors: 0, warnings: 0
1152
                errors: 0, warnings: 0
1153
                errors: 0, warnings: 0
1154
                errors: 0, warnings: 0
1155
                errors: 0, warnings: 0
1156
                errors: 0, warnings: 0
1157
                errors: 0, warnings: 0
1158
                errors: 0, warnings: 0
1159
                errors: 0, warnings: 0
1160
                errors: 0, warnings: 0
1161
                errors: 0, warnings: 0
1162
                errors: 0, warnings: 0
1163
                errors: 0, warnings: 0
1164
                errors: 0, warnings: 0
1165
                errors: 0, warnings: 0
1166
                errors: 0, warnings: 0
1167
                errors: 0, warnings: 0
1168
                errors: 0, warnings: 0
1169
                errors: 0, warnings: 0
1170
                errors: 0, warnings: 0
1171
                errors: 0, warnings: 0
1172
                errors: 0, warnings: 0
1173
                errors: 0, warnings: 0
1174
                errors: 0, warnings: 0
1175
                errors: 0, warnings: 0
1176
                errors: 0, warnings: 0
1177
                errors: 0, warnings: 0
1178
                errors: 0, warnings: 0
1179
                errors: 0, warnings: 0
1180
                errors: 0, warnings: 0
1181
                errors: 0, warnings: 0
1182
                errors: 0, warnings: 0
1183
                errors: 0, warnings: 0
1184
                errors: 0, warnings: 0
1185
                errors: 0, warnings: 0
1186
                errors: 0, warnings: 0
1187
                errors: 0, warnings: 0
1188
                errors: 0, warnings: 0
1189
                errors: 0, warnings: 0
1190
                errors: 0, warnings: 0
1191
                errors: 0, warnings: 0
1192
                errors: 0, warnings: 0
1193
                errors: 0, warnings: 0
1194
                errors: 0, warnings: 0
1195
                errors: 0, warnings: 0
1196
                errors: 0, warnings: 0
1197
                errors: 0, warnings: 0
1198
                errors: 0, warnings: 0
1199
                errors: 0, warnings: 0
1200
                errors: 0, warnings: 0
1201
                errors: 0, warnings: 0
1202
                errors: 0, warnings: 0
1203
                errors: 0, warnings: 0
1204
                errors: 0, warnings: 0
1205
                errors: 0, warnings: 0
1206
                errors: 0, warnings: 0
1207
                errors: 0, warnings: 0
1208
                errors: 0, warnings: 0
1209
                errors: 0, warnings: 0
1210
                errors: 0, warnings: 0
1211
                errors: 0, warnings: 0
1212
                errors: 0, warnings: 0
1213
                errors: 0, warnings: 0
1214
                errors: 0, warnings: 0
1215
                errors: 0, warnings: 0
1216
                errors: 0, warnings: 0
1217
                errors: 0, warnings: 0
1218
                errors: 0, warnings: 0
1219
                errors: 0, warnings: 0
1220
                errors: 0, warnings: 0
1221
                errors: 0, warnings: 0
1222
                errors: 0, warnings: 0
1223
                errors: 0, warnings: 0
1224
                errors: 0, warnings: 0
1225
                errors: 0, warnings: 0
1226
                errors: 0, warnings: 0
1227
                errors: 0, warnings: 0
1228
                errors: 0, warnings: 0
1229
                errors: 0, warnings: 0
1230
                errors: 0, warnings: 0
1231
                errors: 0, warnings: 0
1232
                errors: 0, warnings: 0
1233
                errors: 0, warnings: 0
1234
                errors: 0, warnings: 0
1235
                errors: 0, warnings: 0
1236
                errors: 0, warnings: 0
1237
                errors: 0, warnings: 0
1238
                errors: 0, warnings: 0
1239
                errors: 0, warnings: 0
1240
                errors: 0, warnings: 0
1241
                errors: 0, warnings: 0
1242
                errors: 0, warnings: 0
1243
                errors: 0, warnings: 0
1244
                errors: 0, warnings: 0
1245
                errors: 0, warnings: 0
1246
                errors: 0, warnings: 0
1247
                errors: 0, warnings: 0
1248
                errors: 0, warnings: 0
1249
                errors: 0, warnings: 0
1250
                errors: 0, warnings: 0
1251
                errors: 0, warnings: 0
1252
                errors: 0, warnings: 0
1253
                errors: 0, warnings: 0
1254
                errors: 0, warnings: 0
1255
                errors: 0, warnings: 0
1256
                errors: 0, warnings: 0
1257
                errors: 0, warnings: 0
1258
                errors: 0, warnings: 0
1259
                errors: 0, warnings: 0
1260
                errors: 0, warnings: 0
1261
                errors: 0, warnings: 0
1262
                errors: 0, warnings: 0
1263
                errors: 0, warnings: 0
1264
                errors: 0, warnings: 0
1265
                errors: 0, warnings: 0
1266
                errors: 0, warnings: 0
1267
                errors: 0, warnings: 0
1268
                errors: 0, warnings: 0
1269
                errors: 0, warnings: 0
1270
                errors: 0, warnings: 0
1271
                errors: 0, warnings: 0
1272
                errors: 0, warnings: 0
1273
                errors: 0, warnings: 0
1274
                errors: 0, warnings: 0
1275
                errors: 0, warnings: 0
1276
                errors: 0, warnings: 0
1277
                errors: 0, warnings: 0
1278
                errors: 0, warnings: 0
1279
                errors: 0, warnings: 0
1280
                errors: 0, warnings: 0
1281
                errors: 0, warnings: 0
1282
                errors: 0, warnings: 0
1283
                errors: 0, warnings: 0
1284
                errors: 0, warnings: 0
1285
                errors: 0, warnings: 0
1286
                errors: 0, warnings: 0
1287
                errors: 0, warnings: 0
1288
                errors: 0, warnings: 0
1289
                errors: 0, warnings: 0
1290
                errors: 0, warnings: 0
1291
                errors: 0, warnings: 0
1292
                errors: 0, warnings: 0
1293
                errors: 0, warnings: 0
1294
                errors: 0, warnings: 0
1295
                errors: 0, warnings: 0
1296
                errors: 0, warnings: 0
1297
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/pcie_2_1/pcie_2_1_001.vp
1298
        module secureip.PCIE_2_1_WRAP
1299
                errors: 0, warnings: 0
1300
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/pcie_2_1/pcie_2_1_002.vp
1301
                errors: 0, warnings: 0
1302
                errors: 0, warnings: 0
1303
                errors: 0, warnings: 0
1304
                errors: 0, warnings: 0
1305
                errors: 0, warnings: 0
1306
                errors: 0, warnings: 0
1307
                errors: 0, warnings: 0
1308
                errors: 0, warnings: 0
1309
                errors: 0, warnings: 0
1310
                errors: 0, warnings: 0
1311
                errors: 0, warnings: 0
1312
                errors: 0, warnings: 0
1313
                errors: 0, warnings: 0
1314
                errors: 0, warnings: 0
1315
                errors: 0, warnings: 0
1316
                errors: 0, warnings: 0
1317
                errors: 0, warnings: 0
1318
                errors: 0, warnings: 0
1319
                errors: 0, warnings: 0
1320
                errors: 0, warnings: 0
1321
                errors: 0, warnings: 0
1322
                errors: 0, warnings: 0
1323
                errors: 0, warnings: 0
1324
                errors: 0, warnings: 0
1325
                errors: 0, warnings: 0
1326
                errors: 0, warnings: 0
1327
                errors: 0, warnings: 0
1328
                errors: 0, warnings: 0
1329
                errors: 0, warnings: 0
1330
                errors: 0, warnings: 0
1331
                errors: 0, warnings: 0
1332
                errors: 0, warnings: 0
1333
                errors: 0, warnings: 0
1334
                errors: 0, warnings: 0
1335
                errors: 0, warnings: 0
1336
                errors: 0, warnings: 0
1337
                errors: 0, warnings: 0
1338
                errors: 0, warnings: 0
1339
                errors: 0, warnings: 0
1340
                errors: 0, warnings: 0
1341
                errors: 0, warnings: 0
1342
                errors: 0, warnings: 0
1343
                errors: 0, warnings: 0
1344
                errors: 0, warnings: 0
1345
                errors: 0, warnings: 0
1346
                errors: 0, warnings: 0
1347
                errors: 0, warnings: 0
1348
                errors: 0, warnings: 0
1349
                errors: 0, warnings: 0
1350
                errors: 0, warnings: 0
1351
                errors: 0, warnings: 0
1352
                errors: 0, warnings: 0
1353
                errors: 0, warnings: 0
1354
                errors: 0, warnings: 0
1355
                errors: 0, warnings: 0
1356
                errors: 0, warnings: 0
1357
                errors: 0, warnings: 0
1358
                errors: 0, warnings: 0
1359
                errors: 0, warnings: 0
1360
                errors: 0, warnings: 0
1361
                errors: 0, warnings: 0
1362
                errors: 0, warnings: 0
1363
                errors: 0, warnings: 0
1364
                errors: 0, warnings: 0
1365
                errors: 0, warnings: 0
1366
                errors: 0, warnings: 0
1367
                errors: 0, warnings: 0
1368
                errors: 0, warnings: 0
1369
                errors: 0, warnings: 0
1370
                errors: 0, warnings: 0
1371
                errors: 0, warnings: 0
1372
                errors: 0, warnings: 0
1373
                errors: 0, warnings: 0
1374
                errors: 0, warnings: 0
1375
                errors: 0, warnings: 0
1376
                errors: 0, warnings: 0
1377
                errors: 0, warnings: 0
1378
                errors: 0, warnings: 0
1379
                errors: 0, warnings: 0
1380
                errors: 0, warnings: 0
1381
                errors: 0, warnings: 0
1382
                errors: 0, warnings: 0
1383
                errors: 0, warnings: 0
1384
                errors: 0, warnings: 0
1385
                errors: 0, warnings: 0
1386
                errors: 0, warnings: 0
1387
                errors: 0, warnings: 0
1388
                errors: 0, warnings: 0
1389
                errors: 0, warnings: 0
1390
                errors: 0, warnings: 0
1391
                errors: 0, warnings: 0
1392
                errors: 0, warnings: 0
1393
                errors: 0, warnings: 0
1394
                errors: 0, warnings: 0
1395
                errors: 0, warnings: 0
1396
                errors: 0, warnings: 0
1397
                errors: 0, warnings: 0
1398
                errors: 0, warnings: 0
1399
                errors: 0, warnings: 0
1400
                errors: 0, warnings: 0
1401
                errors: 0, warnings: 0
1402
                errors: 0, warnings: 0
1403
                errors: 0, warnings: 0
1404
                errors: 0, warnings: 0
1405
                errors: 0, warnings: 0
1406
                errors: 0, warnings: 0
1407
                errors: 0, warnings: 0
1408
                errors: 0, warnings: 0
1409
                errors: 0, warnings: 0
1410
                errors: 0, warnings: 0
1411
                errors: 0, warnings: 0
1412
                errors: 0, warnings: 0
1413
                errors: 0, warnings: 0
1414
                errors: 0, warnings: 0
1415
                errors: 0, warnings: 0
1416
                errors: 0, warnings: 0
1417
                errors: 0, warnings: 0
1418
                errors: 0, warnings: 0
1419
                errors: 0, warnings: 0
1420
                errors: 0, warnings: 0
1421
                errors: 0, warnings: 0
1422
                errors: 0, warnings: 0
1423
                errors: 0, warnings: 0
1424
                errors: 0, warnings: 0
1425
                errors: 0, warnings: 0
1426
                errors: 0, warnings: 0
1427
                errors: 0, warnings: 0
1428
                errors: 0, warnings: 0
1429
                errors: 0, warnings: 0
1430
                errors: 0, warnings: 0
1431
                errors: 0, warnings: 0
1432
                errors: 0, warnings: 0
1433
                errors: 0, warnings: 0
1434
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/iserdese2/iserdese2_001.vp
1435
        module secureip.ISERDESE2_WRAP
1436
                errors: 0, warnings: 0
1437
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/iserdese2/iserdese2_002.vp
1438
        module secureip.B_ISERDESE2
1439
                errors: 0, warnings: 0
1440
                errors: 0, warnings: 0
1441
                errors: 0, warnings: 0
1442
                errors: 0, warnings: 0
1443
                errors: 0, warnings: 0
1444
                errors: 0, warnings: 0
1445
                errors: 0, warnings: 0
1446
                errors: 0, warnings: 0
1447
                errors: 0, warnings: 0
1448
                errors: 0, warnings: 0
1449
                errors: 0, warnings: 0
1450
                errors: 0, warnings: 0
1451
                errors: 0, warnings: 0
1452
                errors: 0, warnings: 0
1453
                errors: 0, warnings: 0
1454
                errors: 0, warnings: 0
1455
                errors: 0, warnings: 0
1456
                errors: 0, warnings: 0
1457
                errors: 0, warnings: 0
1458
                errors: 0, warnings: 0
1459
                errors: 0, warnings: 0
1460
                errors: 0, warnings: 0
1461
                errors: 0, warnings: 0
1462
                errors: 0, warnings: 0
1463
                errors: 0, warnings: 0
1464
                errors: 0, warnings: 0
1465
                errors: 0, warnings: 0
1466
                errors: 0, warnings: 0
1467
                errors: 0, warnings: 0
1468
                errors: 0, warnings: 0
1469
                errors: 0, warnings: 0
1470
                errors: 0, warnings: 0
1471
                errors: 0, warnings: 0
1472
                errors: 0, warnings: 0
1473
                errors: 0, warnings: 0
1474
                errors: 0, warnings: 0
1475
                errors: 0, warnings: 0
1476
                errors: 0, warnings: 0
1477
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/oserdese2/oserdese2_001.vp
1478
        module secureip.OSERDESE2_WRAP
1479
                errors: 0, warnings: 0
1480
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/oserdese2/oserdese2_002.vp
1481
        module secureip.B_OSERDESE2
1482
                errors: 0, warnings: 0
1483
                errors: 0, warnings: 0
1484
                errors: 0, warnings: 0
1485
                errors: 0, warnings: 0
1486
                errors: 0, warnings: 0
1487
                errors: 0, warnings: 0
1488
                errors: 0, warnings: 0
1489
                errors: 0, warnings: 0
1490
                errors: 0, warnings: 0
1491
                errors: 0, warnings: 0
1492
                errors: 0, warnings: 0
1493
                errors: 0, warnings: 0
1494
                errors: 0, warnings: 0
1495
                errors: 0, warnings: 0
1496
                errors: 0, warnings: 0
1497
                errors: 0, warnings: 0
1498
                errors: 0, warnings: 0
1499
                errors: 0, warnings: 0
1500
                errors: 0, warnings: 0
1501
                errors: 0, warnings: 0
1502
                errors: 0, warnings: 0
1503
                errors: 0, warnings: 0
1504
                errors: 0, warnings: 0
1505
                errors: 0, warnings: 0
1506
                errors: 0, warnings: 0
1507
                errors: 0, warnings: 0
1508
                errors: 0, warnings: 0
1509
                errors: 0, warnings: 0
1510
                errors: 0, warnings: 0
1511
                errors: 0, warnings: 0
1512
                errors: 0, warnings: 0
1513
                errors: 0, warnings: 0
1514
                errors: 0, warnings: 0
1515
                errors: 0, warnings: 0
1516
                errors: 0, warnings: 0
1517
                errors: 0, warnings: 0
1518
                errors: 0, warnings: 0
1519
                errors: 0, warnings: 0
1520
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/in_fifo/in_fifo_001.vp
1521
        module secureip.SIP_IN_FIFO
1522
                errors: 0, warnings: 0
1523
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/in_fifo/in_fifo_002.vp
1524
                errors: 0, warnings: 0
1525
                errors: 0, warnings: 0
1526
                errors: 0, warnings: 0
1527
                errors: 0, warnings: 0
1528
                errors: 0, warnings: 0
1529
                errors: 0, warnings: 0
1530
                errors: 0, warnings: 0
1531
                errors: 0, warnings: 0
1532
                errors: 0, warnings: 0
1533
                errors: 0, warnings: 0
1534
                errors: 0, warnings: 0
1535
                errors: 0, warnings: 0
1536
                errors: 0, warnings: 0
1537
                errors: 0, warnings: 0
1538
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/out_fifo/out_fifo_001.vp
1539
        module secureip.SIP_OUT_FIFO
1540
                errors: 0, warnings: 0
1541
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/out_fifo/out_fifo_002.vp
1542
                errors: 0, warnings: 0
1543
                errors: 0, warnings: 0
1544
                errors: 0, warnings: 0
1545
                errors: 0, warnings: 0
1546
                errors: 0, warnings: 0
1547
                errors: 0, warnings: 0
1548
                errors: 0, warnings: 0
1549
                errors: 0, warnings: 0
1550
                errors: 0, warnings: 0
1551
                errors: 0, warnings: 0
1552
                errors: 0, warnings: 0
1553
                errors: 0, warnings: 0
1554
                errors: 0, warnings: 0
1555
                errors: 0, warnings: 0
1556
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/phy_control/phy_control_001.vp
1557
        module secureip.SIP_PHY_CONTROL
1558
                errors: 0, warnings: 0
1559
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/phy_control/phy_control_002.vp
1560
                errors: 0, warnings: 0
1561
                errors: 0, warnings: 0
1562
                errors: 0, warnings: 0
1563
                errors: 0, warnings: 0
1564
                errors: 0, warnings: 0
1565
                errors: 0, warnings: 0
1566
                errors: 0, warnings: 0
1567
                errors: 0, warnings: 0
1568
                errors: 0, warnings: 0
1569
                errors: 0, warnings: 0
1570
                errors: 0, warnings: 0
1571
                errors: 0, warnings: 0
1572
                errors: 0, warnings: 0
1573
                errors: 0, warnings: 0
1574
                errors: 0, warnings: 0
1575
                errors: 0, warnings: 0
1576
                errors: 0, warnings: 0
1577
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/phaser_in/phaser_in_001.vp
1578
        module secureip.SIP_PHASER_IN
1579
                errors: 0, warnings: 0
1580
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/phaser_in/phaser_in_002.vp
1581
                errors: 0, warnings: 0
1582
                errors: 0, warnings: 0
1583
                errors: 0, warnings: 0
1584
                errors: 0, warnings: 0
1585
                errors: 0, warnings: 0
1586
                errors: 0, warnings: 0
1587
                errors: 0, warnings: 0
1588
                errors: 0, warnings: 0
1589
                errors: 0, warnings: 0
1590
                errors: 0, warnings: 0
1591
                errors: 0, warnings: 0
1592
                errors: 0, warnings: 0
1593
                errors: 0, warnings: 0
1594
                errors: 0, warnings: 0
1595
                errors: 0, warnings: 0
1596
                errors: 0, warnings: 0
1597
                errors: 0, warnings: 0
1598
                errors: 0, warnings: 0
1599
                errors: 0, warnings: 0
1600
                errors: 0, warnings: 0
1601
                errors: 0, warnings: 0
1602
                errors: 0, warnings: 0
1603
                errors: 0, warnings: 0
1604
                errors: 0, warnings: 0
1605
                errors: 0, warnings: 0
1606
                errors: 0, warnings: 0
1607
                errors: 0, warnings: 0
1608
                errors: 0, warnings: 0
1609
                errors: 0, warnings: 0
1610
                errors: 0, warnings: 0
1611
                errors: 0, warnings: 0
1612
                errors: 0, warnings: 0
1613
                errors: 0, warnings: 0
1614
                errors: 0, warnings: 0
1615
                errors: 0, warnings: 0
1616
                errors: 0, warnings: 0
1617
                errors: 0, warnings: 0
1618
                errors: 0, warnings: 0
1619
                errors: 0, warnings: 0
1620
                errors: 0, warnings: 0
1621
                errors: 0, warnings: 0
1622
                errors: 0, warnings: 0
1623
                errors: 0, warnings: 0
1624
                errors: 0, warnings: 0
1625
                errors: 0, warnings: 0
1626
                errors: 0, warnings: 0
1627
                errors: 0, warnings: 0
1628
                errors: 0, warnings: 0
1629
                errors: 0, warnings: 0
1630
                errors: 0, warnings: 0
1631
                errors: 0, warnings: 0
1632
                errors: 0, warnings: 0
1633
                errors: 0, warnings: 0
1634
                errors: 0, warnings: 0
1635
                errors: 0, warnings: 0
1636
                errors: 0, warnings: 0
1637
                errors: 0, warnings: 0
1638
                errors: 0, warnings: 0
1639
                errors: 0, warnings: 0
1640
                errors: 0, warnings: 0
1641
                errors: 0, warnings: 0
1642
                errors: 0, warnings: 0
1643
                errors: 0, warnings: 0
1644
                errors: 0, warnings: 0
1645
                errors: 0, warnings: 0
1646
                errors: 0, warnings: 0
1647
                errors: 0, warnings: 0
1648
                errors: 0, warnings: 0
1649
                errors: 0, warnings: 0
1650
                errors: 0, warnings: 0
1651
                errors: 0, warnings: 0
1652
                errors: 0, warnings: 0
1653
                errors: 0, warnings: 0
1654
                errors: 0, warnings: 0
1655
                errors: 0, warnings: 0
1656
                errors: 0, warnings: 0
1657
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/phaser_out/phaser_out_001.vp
1658
        module secureip.SIP_PHASER_OUT
1659
                errors: 0, warnings: 0
1660
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/secureip/phaser_out/phaser_out_002.vp
1661
                errors: 0, warnings: 0
1662
                errors: 0, warnings: 0
1663
                errors: 0, warnings: 0
1664
                errors: 0, warnings: 0
1665
                errors: 0, warnings: 0
1666
                errors: 0, warnings: 0
1667
                errors: 0, warnings: 0
1668
                errors: 0, warnings: 0
1669
                errors: 0, warnings: 0
1670
                errors: 0, warnings: 0
1671
                errors: 0, warnings: 0
1672
                errors: 0, warnings: 0
1673
                errors: 0, warnings: 0
1674
                errors: 0, warnings: 0
1675
                errors: 0, warnings: 0
1676
                errors: 0, warnings: 0
1677
                errors: 0, warnings: 0
1678
                errors: 0, warnings: 0
1679
                errors: 0, warnings: 0
1680
                errors: 0, warnings: 0
1681
                errors: 0, warnings: 0
1682
                errors: 0, warnings: 0
1683
                errors: 0, warnings: 0
1684
                errors: 0, warnings: 0
1685
                errors: 0, warnings: 0
1686
                errors: 0, warnings: 0
1687
                errors: 0, warnings: 0
1688
                errors: 0, warnings: 0
1689
                errors: 0, warnings: 0
1690
                errors: 0, warnings: 0
1691
                errors: 0, warnings: 0
1692
                errors: 0, warnings: 0
1693
                errors: 0, warnings: 0
1694
                errors: 0, warnings: 0
1695
                errors: 0, warnings: 0
1696
                errors: 0, warnings: 0
1697
                errors: 0, warnings: 0
1698
                errors: 0, warnings: 0
1699
                errors: 0, warnings: 0
1700
                errors: 0, warnings: 0
1701
                errors: 0, warnings: 0
1702
                errors: 0, warnings: 0
1703
                errors: 0, warnings: 0
1704
                errors: 0, warnings: 0
1705
                errors: 0, warnings: 0
1706
                errors: 0, warnings: 0
1707
                errors: 0, warnings: 0
1708
        Total errors/warnings found outside modules and primitives:
1709
                errors: 0, warnings: 2
1710
 
1711
END_COMPILATION_MESSAGES(ies:verilog:secureip)
1712
==============================================================================
1713
 
1714
    > Log File       = '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/secureip/.cxl.verilog.secureip.secureip.lin64.log'
1715
 
1716
compile_simlib[verilog.secureip]: 0 error(s), 2 warning(s), 33.33 % complete
1717
--> Compiling 'verilog.simprim' library...
1718
    > Source Library = '/opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims'
1719
    > Compiled Path  = '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/simprims_ver'
1720
 
1721
==============================================================================
1722
BEGIN_COMPILATION_MESSAGES(ies:verilog:simprim)
1723
ncvlog(64): 14.10-s005: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
1724
DEFINE xpm  /home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/xpm
1725
|
1726
ncvlog: *W,DLCPTH (./cds.lib,1): cds.lib Invalid path '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/xpm' (cds.lib command ignored).
1727
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BSCANE2.v
1728
        module simprims_ver.BSCANE2
1729
                errors: 0, warnings: 0
1730
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP_MULTIPLIER.v
1731
        module simprims_ver.DSP_MULTIPLIER
1732
                errors: 0, warnings: 0
1733
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FDRE.v
1734
        module simprims_ver.FDRE
1735
                errors: 0, warnings: 0
1736
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTHE3_COMMON.v
1737
        module simprims_ver.GTHE3_COMMON
1738
                errors: 0, warnings: 0
1739
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTHE4_CHANNEL.v
1740
        module simprims_ver.GTHE4_CHANNEL
1741
                errors: 0, warnings: 0
1742
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFCTRL.v
1743
        module simprims_ver.IBUFCTRL
1744
                errors: 0, warnings: 0
1745
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_IBUFDISABLE_INT.v
1746
        module simprims_ver.IBUFDS_IBUFDISABLE_INT
1747
                errors: 0, warnings: 0
1748
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IDELAYE2.v
1749
        module simprims_ver.IDELAYE2
1750
                errors: 0, warnings: 0
1751
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IDELAYE3.v
1752
        module simprims_ver.IDELAYE3
1753
                errors: 0, warnings: 0
1754
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUF_ANALOG.v
1755
        module simprims_ver.IOBUF_ANALOG
1756
                errors: 0, warnings: 0
1757
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFT.v
1758
        module simprims_ver.OBUFT
1759
                errors: 0, warnings: 0
1760
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PHASER_IN.v
1761
        module simprims_ver.PHASER_IN
1762
                errors: 0, warnings: 0
1763
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PULLDOWN.v
1764
        module simprims_ver.PULLDOWN
1765
                errors: 0, warnings: 0
1766
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM32X1S.v
1767
        module simprims_ver.RAM32X1S
1768
                errors: 0, warnings: 0
1769
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM64M8.v
1770
        module simprims_ver.RAM64M8
1771
                errors: 0, warnings: 0
1772
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAMS64E1.v
1773
        module simprims_ver.RAMS64E1
1774
                errors: 0, warnings: 0
1775
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/URAM288.v
1776
        module simprims_ver.URAM288
1777
                errors: 0, warnings: 0
1778
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFG_GT_SYNC.v
1779
        module simprims_ver.BUFG_GT_SYNC
1780
                errors: 0, warnings: 0
1781
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFMR.v
1782
        module simprims_ver.BUFMR
1783
                errors: 0, warnings: 0
1784
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/CFGLUT5.v
1785
        module simprims_ver.CFGLUT5
1786
                errors: 0, warnings: 0
1787
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP48E1.v
1788
        module simprims_ver.DSP48E1
1789
                errors: 0, warnings: 0
1790
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP48E2.v
1791
        module simprims_ver.DSP48E2
1792
                errors: 0, warnings: 0
1793
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP_M_DATA.v
1794
        module simprims_ver.DSP_M_DATA
1795
                errors: 0, warnings: 0
1796
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/EFUSE_USR.v
1797
        module simprims_ver.EFUSE_USR
1798
                errors: 0, warnings: 0
1799
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FE.v
1800
        module simprims_ver.FE
1801
                errors: 0, warnings: 0
1802
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FIFO18E1.v
1803
        module simprims_ver.FIFO18E1
1804
                errors: 0, warnings: 0
1805
        module simprims_ver.FF18_INTERNAL_VLOG
1806
                errors: 0, warnings: 0
1807
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GND.v
1808
        module simprims_ver.GND
1809
                errors: 0, warnings: 0
1810
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTHE2_COMMON.v
1811
        module simprims_ver.GTHE2_COMMON
1812
                errors: 0, warnings: 0
1813
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTPE2_COMMON.v
1814
        module simprims_ver.GTPE2_COMMON
1815
                errors: 0, warnings: 0
1816
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/AND2B1L.v
1817
        module simprims_ver.AND2B1L
1818
                errors: 0, warnings: 0
1819
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFHCE.v
1820
        module simprims_ver.BUFHCE
1821
                errors: 0, warnings: 0
1822
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/CARRY4.v
1823
        module simprims_ver.CARRY4
1824
                errors: 0, warnings: 0
1825
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/CARRY8.v
1826
        module simprims_ver.CARRY8
1827
                errors: 0, warnings: 0
1828
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DCIRESET.v
1829
        module simprims_ver.DCIRESET
1830
                errors: 0, warnings: 0
1831
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DCM_ADV.v
1832
        module simprims_ver.DCM_ADV
1833
                errors: 0, warnings: 0
1834
        module simprims_ver.dcm_adv_clock_divide_by_2
1835
                errors: 0, warnings: 0
1836
        module simprims_ver.dcm_adv_maximum_period_check
1837
                errors: 0, warnings: 0
1838
        module simprims_ver.dcm_adv_clock_lost
1839
                errors: 0, warnings: 0
1840
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DCM_SP.v
1841
        module simprims_ver.DCM_SP
1842
                errors: 0, warnings: 0
1843
        module simprims_ver.dcm_sp_clock_divide_by_2
1844
                errors: 0, warnings: 0
1845
        module simprims_ver.dcm_sp_maximum_period_check
1846
                errors: 0, warnings: 0
1847
        module simprims_ver.dcm_sp_clock_lost
1848
                errors: 0, warnings: 0
1849
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ICAPE2.v
1850
        module simprims_ver.ICAPE2
1851
                errors: 0, warnings: 0
1852
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ICAPE3.v
1853
        module simprims_ver.ICAPE3
1854
                errors: 0, warnings: 0
1855
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DIFFINBUF.v
1856
        module simprims_ver.DIFFINBUF
1857
                errors: 0, warnings: 0
1858
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DNA_PORT.v
1859
        module simprims_ver.DNA_PORT
1860
                errors: 0, warnings: 0
1861
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IDDR_2CLK.v
1862
        module simprims_ver.IDDR_2CLK
1863
                errors: 0, warnings: 0
1864
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DPHY_DIFFINBUF.v
1865
        module simprims_ver.DPHY_DIFFINBUF
1866
                errors: 0, warnings: 0
1867
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IN_FIFO.v
1868
        module simprims_ver.IN_FIFO
1869
                errors: 0, warnings: 0
1870
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP_C_DATA.v
1871
        module simprims_ver.DSP_C_DATA
1872
                errors: 0, warnings: 0
1873
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/LDCE.v
1874
        module simprims_ver.LDCE
1875
                errors: 0, warnings: 0
1876
        primitive simprims_ver.latchsre_ldce
1877
                errors: 0, warnings: 0
1878
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FRAME_ECCE2.v
1879
        module simprims_ver.FRAME_ECCE2
1880
                errors: 0, warnings: 0
1881
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FRAME_ECCE3.v
1882
        module simprims_ver.FRAME_ECCE3
1883
                errors: 0, warnings: 0
1884
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FRAME_ECCE4.v
1885
        module simprims_ver.FRAME_ECCE4
1886
                errors: 0, warnings: 0
1887
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTHE2_CHANNEL.v
1888
        module simprims_ver.GTHE2_CHANNEL
1889
                errors: 0, warnings: 0
1890
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MMCME4_BASE.v
1891
        module simprims_ver.MMCME4_BASE
1892
                errors: 0, warnings: 0
1893
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTYE4_CHANNEL.v
1894
        module simprims_ver.GTYE4_CHANNEL
1895
                errors: 0, warnings: 0
1896
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTYE4_COMMON.v
1897
        module simprims_ver.GTYE4_COMMON
1898
                errors: 0, warnings: 0
1899
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MUXCY.v
1900
        module simprims_ver.MUXCY
1901
                errors: 0, warnings: 0
1902
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/HBM_REF_CLK.v
1903
        module simprims_ver.HBM_REF_CLK
1904
                errors: 0, warnings: 0
1905
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/HBM_SNGLBLI_INTF_AXI.v
1906
        module simprims_ver.HBM_SNGLBLI_INTF_AXI
1907
                errors: 0, warnings: 0
1908
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUF.v
1909
        module simprims_ver.OBUF
1910
                errors: 0, warnings: 0
1911
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFDS.v
1912
        module simprims_ver.OBUFDS
1913
                errors: 0, warnings: 0
1914
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/HBM_TWO_STACK_INTF.v
1915
        module simprims_ver.HBM_TWO_STACK_INTF
1916
                errors: 0, warnings: 0
1917
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFTDS_DCIEN.v
1918
        module simprims_ver.OBUFTDS_DCIEN
1919
                errors: 0, warnings: 0
1920
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_DIFF_OUT.v
1921
        module simprims_ver.IBUFDS_DIFF_OUT
1922
                errors: 0, warnings: 0
1923
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFT_DCIEN.v
1924
        module simprims_ver.OBUFT_DCIEN
1925
                errors: 0, warnings: 0
1926
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IDDRE1.v
1927
        module simprims_ver.IDDRE1
1928
                errors: 0, warnings: 0
1929
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ILKNE4.v
1930
        module simprims_ver.ILKNE4
1931
                errors: 0, warnings: 0
1932
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUF_DCIEN.v
1933
        module simprims_ver.IOBUF_DCIEN
1934
                errors: 0, warnings: 0
1935
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ODDRE1.v
1936
        module simprims_ver.ODDRE1
1937
                errors: 0, warnings: 0
1938
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUF_INTERMDISABLE.v
1939
        module simprims_ver.IOBUF_INTERMDISABLE
1940
                errors: 0, warnings: 0
1941
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OSERDESE1.v
1942
        module simprims_ver.OSERDESE1
1943
                errors: 0, warnings: 0
1944
        module simprims_ver.selfheal_oserdese1_vlog
1945
                errors: 0, warnings: 0
1946
        module simprims_ver.plg_oserdese1_vlog
1947
                errors: 0, warnings: 0
1948
        module simprims_ver.rank12d_oserdese1_vlog
1949
                errors: 0, warnings: 0
1950
        module simprims_ver.trif_oserdese1_vlog
1951
                errors: 0, warnings: 0
1952
        module simprims_ver.txbuffer_oserdese1_vlog
1953
                errors: 0, warnings: 0
1954
        module simprims_ver.fifo_tdpipe_oserdese1_vlog
1955
                errors: 0, warnings: 0
1956
        module simprims_ver.fifo_reset_oserdese1_vlog
1957
                errors: 0, warnings: 0
1958
        module simprims_ver.fifo_addr_oserdese1_vlog
1959
                errors: 0, warnings: 0
1960
        module simprims_ver.iodlyctrl_npre_oserdese1_vlog
1961
                errors: 0, warnings: 0
1962
        module simprims_ver.dout_oserdese1_vlog
1963
                errors: 0, warnings: 0
1964
        module simprims_ver.tout_oserdese1_vlog
1965
                errors: 0, warnings: 0
1966
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OSERDESE2.v
1967
        module simprims_ver.OSERDESE2
1968
                errors: 0, warnings: 0
1969
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OSERDESE3.v
1970
        module simprims_ver.OSERDESE3
1971
                errors: 0, warnings: 0
1972
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PLLE2_ADV.v
1973
        module simprims_ver.PLLE2_ADV
1974
                errors: 0, warnings: 0
1975
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PLLE4_BASE.v
1976
        module simprims_ver.PLLE4_BASE
1977
                errors: 0, warnings: 0
1978
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PULLUP.v
1979
        module simprims_ver.PULLUP
1980
                errors: 0, warnings: 0
1981
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAMB18E1.v
1982
        module simprims_ver.RAMB18E1
1983
                errors: 0, warnings: 0
1984
        module simprims_ver.RB18_INTERNAL_VLOG
1985
                errors: 0, warnings: 0
1986
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAMB18E2.v
1987
        module simprims_ver.RAMB18E2
1988
                errors: 0, warnings: 0
1989
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/LUT1.v
1990
        module simprims_ver.LUT1
1991
                errors: 0, warnings: 0
1992
        primitive simprims_ver.x_lut1_mux2
1993
                errors: 0, warnings: 0
1994
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAMB36E1.v
1995
        module simprims_ver.RAMB36E1
1996
                errors: 0, warnings: 0
1997
        module simprims_ver.RB36_INTERNAL_VLOG
1998
                errors: 0, warnings: 0
1999
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/LUT2.v
2000
        module simprims_ver.LUT2
2001
                errors: 0, warnings: 0
2002
        primitive simprims_ver.x_lut2_mux4
2003
                errors: 0, warnings: 0
2004
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAMB36E2.v
2005
        module simprims_ver.RAMB36E2
2006
                errors: 0, warnings: 0
2007
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/LUT3.v
2008
        module simprims_ver.LUT3
2009
                errors: 0, warnings: 0
2010
        primitive simprims_ver.x_lut3_mux8
2011
                errors: 0, warnings: 0
2012
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAMD64E.v
2013
        module simprims_ver.RAMD64E
2014
                errors: 0, warnings: 0
2015
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAMS32.v
2016
        module simprims_ver.RAMS32
2017
                errors: 0, warnings: 0
2018
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/LUT4.v
2019
        module simprims_ver.LUT4
2020
                errors: 0, warnings: 0
2021
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/LUT5.v
2022
        module simprims_ver.LUT5
2023
                errors: 0, warnings: 0
2024
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/LUT6.v
2025
        module simprims_ver.LUT6
2026
                errors: 0, warnings: 0
2027
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/SRLC16E.v
2028
        module simprims_ver.SRLC16E
2029
                errors: 0, warnings: 0
2030
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MMCME3_BASE.v
2031
        module simprims_ver.MMCME3_BASE
2032
                errors: 0, warnings: 0
2033
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MMCME4_ADV.v
2034
        module simprims_ver.MMCME4_ADV
2035
                errors: 0, warnings: 0
2036
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFDS_DPHY.v
2037
        module simprims_ver.OBUFDS_DPHY
2038
                errors: 0, warnings: 0
2039
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ODDR.v
2040
        module simprims_ver.ODDR
2041
                errors: 0, warnings: 0
2042
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ODELAYE2.v
2043
        module simprims_ver.ODELAYE2
2044
                errors: 0, warnings: 0
2045
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ODELAYE3.v
2046
        module simprims_ver.ODELAYE3
2047
                errors: 0, warnings: 0
2048
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OR2L.v
2049
        module simprims_ver.OR2L
2050
                errors: 0, warnings: 0
2051
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OSERDES.v
2052
        module simprims_ver.OSERDES
2053
                errors: 0, warnings: 0
2054
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PCIE40E4.v
2055
        module simprims_ver.PCIE40E4
2056
                errors: 0, warnings: 0
2057
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PHASER_REF.v
2058
        module simprims_ver.PHASER_REF
2059
                errors: 0, warnings: 0
2060
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PHY_CONTROL.v
2061
        module simprims_ver.PHY_CONTROL
2062
                errors: 0, warnings: 0
2063
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PLLE4_ADV.v
2064
        module simprims_ver.PLLE4_ADV
2065
                errors: 0, warnings: 0
2066
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PS8.v
2067
        module simprims_ver.PS8
2068
                errors: 0, warnings: 0
2069
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM128X1D.v
2070
        module simprims_ver.RAM128X1D
2071
                errors: 0, warnings: 0
2072
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM256X1S.v
2073
        module simprims_ver.RAM256X1S
2074
                errors: 0, warnings: 0
2075
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM64X8SW.v
2076
        module simprims_ver.RAM64X8SW
2077
                errors: 0, warnings: 0
2078
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAMD32.v
2079
        module simprims_ver.RAMD32
2080
                errors: 0, warnings: 0
2081
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RIU_OR.v
2082
        module simprims_ver.RIU_OR
2083
                errors: 0, warnings: 0
2084
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/SYSMONE4.v
2085
        module simprims_ver.SYSMONE4
2086
                errors: 0, warnings: 0
2087
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/TX_BITSLICE.v
2088
        module simprims_ver.TX_BITSLICE
2089
                errors: 0, warnings: 0
2090
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/AUTOBUF.v
2091
        module simprims_ver.AUTOBUF
2092
                errors: 0, warnings: 0
2093
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFGP.v
2094
        module simprims_ver.BUFGP
2095
                errors: 0, warnings: 0
2096
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/CAPTUREE2.v
2097
        module simprims_ver.CAPTUREE2
2098
                errors: 0, warnings: 0
2099
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/INV.v
2100
        module simprims_ver.INV
2101
                errors: 0, warnings: 0
2102
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BITSLICE_CONTROL.v
2103
        module simprims_ver.BITSLICE_CONTROL
2104
                errors: 0, warnings: 0
2105
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFG.v
2106
        module simprims_ver.BUFG
2107
                errors: 0, warnings: 0
2108
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFH.v
2109
        module simprims_ver.BUFH
2110
                errors: 0, warnings: 0
2111
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DNA_PORTE2.v
2112
        module simprims_ver.DNA_PORTE2
2113
                errors: 0, warnings: 0
2114
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP_A_B_DATA.v
2115
        module simprims_ver.DSP_A_B_DATA
2116
                errors: 0, warnings: 0
2117
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FIFO36E2.v
2118
        module simprims_ver.FIFO36E2
2119
                errors: 0, warnings: 0
2120
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/HARD_SYNC.v
2121
        module simprims_ver.HARD_SYNC
2122
                errors: 0, warnings: 0
2123
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/HPIO_VREF.v
2124
        module simprims_ver.HPIO_VREF
2125
                errors: 0, warnings: 0
2126
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_DIFF_OUT_INTERMDISABLE.v
2127
        module simprims_ver.IBUFDS_DIFF_OUT_INTERMDISABLE
2128
                errors: 0, warnings: 0
2129
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_INTERMDISABLE_INT.v
2130
        module simprims_ver.IBUFDS_INTERMDISABLE_INT
2131
                errors: 0, warnings: 0
2132
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ILKN.v
2133
        module simprims_ver.ILKN
2134
                errors: 0, warnings: 0
2135
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUFDS_DIFF_OUT.v
2136
        module simprims_ver.IOBUFDS_DIFF_OUT
2137
                errors: 0, warnings: 0
2138
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUFDS_DIFF_OUT_DCIEN.v
2139
        module simprims_ver.IOBUFDS_DIFF_OUT_DCIEN
2140
                errors: 0, warnings: 0
2141
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/KEEPER.v
2142
        module simprims_ver.KEEPER
2143
                errors: 0, warnings: 0
2144
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFDS_GTE4_ADV.v
2145
        module simprims_ver.OBUFDS_GTE4_ADV
2146
                errors: 0, warnings: 0
2147
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFTDS.v
2148
        module simprims_ver.OBUFTDS
2149
                errors: 0, warnings: 0
2150
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PCIE4CE4.v
2151
        module simprims_ver.PCIE4CE4
2152
                errors: 0, warnings: 0
2153
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PLLE2_BASE.v
2154
        module simprims_ver.PLLE2_BASE
2155
                errors: 0, warnings: 0
2156
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM64M.v
2157
        module simprims_ver.RAM64M
2158
                errors: 0, warnings: 0
2159
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM64X1S.v
2160
        module simprims_ver.RAM64X1S
2161
                errors: 0, warnings: 0
2162
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/SIM_CONFIGE3.v
2163
        module simprims_ver.SIM_CONFIGE3
2164
                errors: 0, warnings: 0
2165
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/XADC.v
2166
        module simprims_ver.XADC
2167
                errors: 0, warnings: 0
2168
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP_PREADD_DATA.v
2169
        module simprims_ver.DSP_PREADD_DATA
2170
                errors: 0, warnings: 0
2171
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUF.v
2172
        module simprims_ver.IBUF
2173
                errors: 0, warnings: 0
2174
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUF.v
2175
        module simprims_ver.IOBUF
2176
                errors: 0, warnings: 0
2177
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUFDS.v
2178
        module simprims_ver.IOBUFDS
2179
                errors: 0, warnings: 0
2180
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUFE3.v
2181
        module simprims_ver.IOBUFE3
2182
                errors: 0, warnings: 0
2183
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFGCE_DIV.v
2184
        module simprims_ver.BUFGCE_DIV
2185
                errors: 0, warnings: 0
2186
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/CMACE4.v
2187
        module simprims_ver.CMACE4
2188
                errors: 0, warnings: 0
2189
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP_ALU.v
2190
        module simprims_ver.DSP_ALU
2191
                errors: 0, warnings: 0
2192
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP_PREADD.v
2193
        module simprims_ver.DSP_PREADD
2194
                errors: 0, warnings: 0
2195
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FDCE.v
2196
        module simprims_ver.FDCE
2197
                errors: 0, warnings: 0
2198
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FIFO18E2.v
2199
        module simprims_ver.FIFO18E2
2200
                errors: 0, warnings: 0
2201
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FIFO36E1.v
2202
        module simprims_ver.FIFO36E1
2203
                errors: 0, warnings: 0
2204
        module simprims_ver.FF36_INTERNAL_VLOG
2205
                errors: 0, warnings: 0
2206
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTHE4_COMMON.v
2207
        module simprims_ver.GTHE4_COMMON
2208
                errors: 0, warnings: 0
2209
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTYE3_COMMON.v
2210
        module simprims_ver.GTYE3_COMMON
2211
                errors: 0, warnings: 0
2212
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/LUT6_2.v
2213
        module simprims_ver.LUT6_2
2214
                errors: 0, warnings: 0
2215
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MMCME2_BASE.v
2216
        module simprims_ver.MMCME2_BASE
2217
                errors: 0, warnings: 0
2218
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/SIM_CONFIGE2.v
2219
        module simprims_ver.SIM_CONFIGE2
2220
                errors: 0, warnings: 0
2221
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ZHOLD_DELAY.v
2222
        module simprims_ver.ZHOLD_DELAY
2223
                errors: 0, warnings: 0
2224
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PLLE3_ADV.v
2225
        module simprims_ver.PLLE3_ADV
2226
                errors: 0, warnings: 0
2227
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM512X1S.v
2228
        module simprims_ver.RAM512X1S
2229
                errors: 0, warnings: 0
2230
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM64X1D.v
2231
        module simprims_ver.RAM64X1D
2232
                errors: 0, warnings: 0
2233
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/VCU.v
2234
        module simprims_ver.VCU
2235
                errors: 0, warnings: 0
2236
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFCE_LEAF.v
2237
        module simprims_ver.BUFCE_LEAF
2238
                errors: 0, warnings: 0
2239
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFCE_ROW.v
2240
        module simprims_ver.BUFCE_ROW
2241
                errors: 0, warnings: 0
2242
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFGCE.v
2243
        module simprims_ver.BUFGCE
2244
                errors: 0, warnings: 0
2245
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFG_GT.v
2246
        module simprims_ver.BUFG_GT
2247
                errors: 0, warnings: 0
2248
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFIO.v
2249
        module simprims_ver.BUFIO
2250
                errors: 0, warnings: 0
2251
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FDPE.v
2252
        module simprims_ver.FDPE
2253
                errors: 0, warnings: 0
2254
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTHE3_CHANNEL.v
2255
        module simprims_ver.GTHE3_CHANNEL
2256
                errors: 0, warnings: 0
2257
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/HBM_SNGLBLI_INTF_APB.v
2258
        module simprims_ver.HBM_SNGLBLI_INTF_APB
2259
                errors: 0, warnings: 0
2260
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/HSADC.v
2261
        module simprims_ver.HSADC
2262
                errors: 0, warnings: 0
2263
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_DIFF_OUT_IBUFDISABLE.v
2264
        module simprims_ver.IBUFDS_DIFF_OUT_IBUFDISABLE
2265
                errors: 0, warnings: 0
2266
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_IBUFDISABLE.v
2267
        module simprims_ver.IBUFDS_IBUFDISABLE
2268
                errors: 0, warnings: 0
2269
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_INTERMDISABLE.v
2270
        module simprims_ver.IBUFDS_INTERMDISABLE
2271
                errors: 0, warnings: 0
2272
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUF_ANALOG.v
2273
        module simprims_ver.IBUF_ANALOG
2274
                errors: 0, warnings: 0
2275
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUF_INTERMDISABLE.v
2276
        module simprims_ver.IBUF_INTERMDISABLE
2277
                errors: 0, warnings: 0
2278
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IDELAYE2_FINEDELAY.v
2279
        module simprims_ver.IDELAYE2_FINEDELAY
2280
                errors: 0, warnings: 0
2281
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUFDSE3.v
2282
        module simprims_ver.IOBUFDSE3
2283
                errors: 0, warnings: 0
2284
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUFDS_DCIEN.v
2285
        module simprims_ver.IOBUFDS_DCIEN
2286
                errors: 0, warnings: 0
2287
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ISERDESE1.v
2288
        module simprims_ver.ISERDESE1
2289
                errors: 0, warnings: 0
2290
        module simprims_ver.bscntrl_iserdese1_vlog
2291
                errors: 0, warnings: 0
2292
        module simprims_ver.ice_iserdese1_vlog
2293
                errors: 0, warnings: 0
2294
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ISERDESE2.v
2295
        module simprims_ver.ISERDESE2
2296
                errors: 0, warnings: 0
2297
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ISERDESE3.v
2298
        module simprims_ver.ISERDESE3
2299
                errors: 0, warnings: 0
2300
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MUXF7.v
2301
        module simprims_ver.MUXF7
2302
                errors: 0, warnings: 0
2303
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MUXF8.v
2304
        module simprims_ver.MUXF8
2305
                errors: 0, warnings: 0
2306
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MUXF9.v
2307
        module simprims_ver.MUXF9
2308
                errors: 0, warnings: 0
2309
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFDS_GTE3.v
2310
        module simprims_ver.OBUFDS_GTE3
2311
                errors: 0, warnings: 0
2312
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFDS_GTE4.v
2313
        module simprims_ver.OBUFDS_GTE4
2314
                errors: 0, warnings: 0
2315
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ODELAYE2_FINEDELAY.v
2316
        module simprims_ver.ODELAYE2_FINEDELAY
2317
                errors: 0, warnings: 0
2318
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PHASER_OUT.v
2319
        module simprims_ver.PHASER_OUT
2320
                errors: 0, warnings: 0
2321
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PHASER_OUT_PHY.v
2322
        module simprims_ver.PHASER_OUT_PHY
2323
                errors: 0, warnings: 0
2324
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PLLE3_BASE.v
2325
        module simprims_ver.PLLE3_BASE
2326
                errors: 0, warnings: 0
2327
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PS7.v
2328
        module simprims_ver.PS7
2329
                errors: 0, warnings: 0
2330
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM256X1D.v
2331
        module simprims_ver.RAM256X1D
2332
                errors: 0, warnings: 0
2333
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM32M16.v
2334
        module simprims_ver.RAM32M16
2335
                errors: 0, warnings: 0
2336
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM32X1D.v
2337
        module simprims_ver.RAM32X1D
2338
                errors: 0, warnings: 0
2339
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAMS64E.v
2340
        module simprims_ver.RAMS64E
2341
                errors: 0, warnings: 0
2342
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RXTX_BITSLICE.v
2343
        module simprims_ver.RXTX_BITSLICE
2344
                errors: 0, warnings: 0
2345
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/SRL16E.v
2346
        module simprims_ver.SRL16E
2347
                errors: 0, warnings: 0
2348
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/STARTUPE2.v
2349
        module simprims_ver.STARTUPE2
2350
                errors: 0, warnings: 0
2351
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/STARTUPE3.v
2352
        module simprims_ver.STARTUPE3
2353
                errors: 0, warnings: 0
2354
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/SYSMONE1.v
2355
        module simprims_ver.SYSMONE1
2356
                errors: 0, warnings: 0
2357
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/TX_BITSLICE_TRI.v
2358
        module simprims_ver.TX_BITSLICE_TRI
2359
                errors: 0, warnings: 0
2360
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/VCC.v
2361
        module simprims_ver.VCC
2362
                errors: 0, warnings: 0
2363
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFGCTRL.v
2364
        module simprims_ver.BUFGCTRL
2365
                errors: 0, warnings: 0
2366
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFR.v
2367
        module simprims_ver.BUFR
2368
                errors: 0, warnings: 0
2369
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IDELAYCTRL.v
2370
        module simprims_ver.IDELAYCTRL
2371
                errors: 0, warnings: 0
2372
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUFDS_DIFF_OUT_INTERMDISABLE.v
2373
        module simprims_ver.IOBUFDS_DIFF_OUT_INTERMDISABLE
2374
                errors: 0, warnings: 0
2375
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/LDPE.v
2376
        module simprims_ver.LDPE
2377
                errors: 0, warnings: 0
2378
        primitive simprims_ver.latchsre_ldpe
2379
                errors: 0, warnings: 0
2380
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MMCME3_ADV.v
2381
        module simprims_ver.MMCME3_ADV
2382
                errors: 0, warnings: 0
2383
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RX_BITSLICE.v
2384
        module simprims_ver.RX_BITSLICE
2385
                errors: 0, warnings: 0
2386
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/USR_ACCESSE2.v
2387
        module simprims_ver.USR_ACCESSE2
2388
                errors: 0, warnings: 0
2389
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/XORCY.v
2390
        module simprims_ver.XORCY
2391
                errors: 0, warnings: 0
2392
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUF.v
2393
        module simprims_ver.BUF
2394
                errors: 0, warnings: 0
2395
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFG_PS.v
2396
        module simprims_ver.BUFG_PS
2397
                errors: 0, warnings: 0
2398
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BUFMRCE.v
2399
        module simprims_ver.BUFMRCE
2400
                errors: 0, warnings: 0
2401
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/CMAC.v
2402
        module simprims_ver.CMAC
2403
                errors: 0, warnings: 0
2404
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/FDSE.v
2405
        module simprims_ver.FDSE
2406
                errors: 0, warnings: 0
2407
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTPE2_CHANNEL.v
2408
        module simprims_ver.GTPE2_CHANNEL
2409
                errors: 0, warnings: 0
2410
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTXE2_CHANNEL.v
2411
        module simprims_ver.GTXE2_CHANNEL
2412
                errors: 0, warnings: 0
2413
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTXE2_COMMON.v
2414
        module simprims_ver.GTXE2_COMMON
2415
                errors: 0, warnings: 0
2416
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/GTYE3_CHANNEL.v
2417
        module simprims_ver.GTYE3_CHANNEL
2418
                errors: 0, warnings: 0
2419
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/HBM_ONE_STACK_INTF.v
2420
        module simprims_ver.HBM_ONE_STACK_INTF
2421
                errors: 0, warnings: 0
2422
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/HSDAC.v
2423
        module simprims_ver.HSDAC
2424
                errors: 0, warnings: 0
2425
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_DPHY.v
2426
        module simprims_ver.IBUFDS_DPHY
2427
                errors: 0, warnings: 0
2428
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IDDR.v
2429
        module simprims_ver.IDDR
2430
                errors: 0, warnings: 0
2431
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/INBUF.v
2432
        module simprims_ver.INBUF
2433
                errors: 0, warnings: 0
2434
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ISERDES.v
2435
        module simprims_ver.ISERDES
2436
                errors: 0, warnings: 0
2437
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MASTER_JTAG.v
2438
        module simprims_ver.MASTER_JTAG
2439
                errors: 0, warnings: 0
2440
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/MMCME2_ADV.v
2441
        module simprims_ver.MMCME2_ADV
2442
                errors: 0, warnings: 0
2443
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OBUFDS_GTE3_ADV.v
2444
        module simprims_ver.OBUFDS_GTE3_ADV
2445
                errors: 0, warnings: 0
2446
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/OUT_FIFO.v
2447
        module simprims_ver.OUT_FIFO
2448
                errors: 0, warnings: 0
2449
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PHASER_IN_PHY.v
2450
        module simprims_ver.PHASER_IN_PHY
2451
                errors: 0, warnings: 0
2452
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM128X1S.v
2453
        module simprims_ver.RAM128X1S
2454
                errors: 0, warnings: 0
2455
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/RAM32M.v
2456
        module simprims_ver.RAM32M
2457
                errors: 0, warnings: 0
2458
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/SRLC32E.v
2459
        module simprims_ver.SRLC32E
2460
                errors: 0, warnings: 0
2461
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/URAM288_BASE.v
2462
        module simprims_ver.URAM288_BASE
2463
                errors: 0, warnings: 0
2464
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/BIBUF.v
2465
        module simprims_ver.BIBUF
2466
                errors: 0, warnings: 0
2467
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IOBUFDS_INTERMDISABLE.v
2468
        module simprims_ver.IOBUFDS_INTERMDISABLE
2469
                errors: 0, warnings: 0
2470
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/JTAG_SIME2.v
2471
        module simprims_ver.JTAG_SIME2
2472
                errors: 0, warnings: 0
2473
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS.v
2474
        module simprims_ver.IBUFDS
2475
                errors: 0, warnings: 0
2476
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDSE3.v
2477
        module simprims_ver.IBUFDSE3
2478
                errors: 0, warnings: 0
2479
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_GTE2.v
2480
        module simprims_ver.IBUFDS_GTE2
2481
                errors: 0, warnings: 0
2482
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_GTE3.v
2483
        module simprims_ver.IBUFDS_GTE3
2484
                errors: 0, warnings: 0
2485
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFDS_GTE4.v
2486
        module simprims_ver.IBUFDS_GTE4
2487
                errors: 0, warnings: 0
2488
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUFE3.v
2489
        module simprims_ver.IBUFE3
2490
                errors: 0, warnings: 0
2491
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/IBUF_IBUFDISABLE.v
2492
        module simprims_ver.IBUF_IBUFDISABLE
2493
                errors: 0, warnings: 0
2494
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/ISERDES_NODELAY.v
2495
        module simprims_ver.ISERDES_NODELAY
2496
                errors: 0, warnings: 0
2497
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PCIE_3_0.v
2498
        module simprims_ver.PCIE_3_0
2499
                errors: 0, warnings: 0
2500
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PCIE_3_1.v
2501
        module simprims_ver.PCIE_3_1
2502
                errors: 0, warnings: 0
2503
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/PCIE_2_1.v
2504
        module simprims_ver.PCIE_2_1
2505
                errors: 0, warnings: 0
2506
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/verilog/src/unisims/DSP_OUTPUT.v
2507
        module simprims_ver.DSP_OUTPUT
2508
                errors: 0, warnings: 0
2509
        Total errors/warnings found outside modules and primitives:
2510
                errors: 0, warnings: 1
2511
 
2512
END_COMPILATION_MESSAGES(ies:verilog:simprim)
2513
==============================================================================
2514
 
2515
    > Log File       = '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/simprims_ver/.cxl.verilog.simprim.simprims_ver.lin64.log'
2516
 
2517
compile_simlib[verilog.simprim]: 0 error(s), 1 warning(s), 66.67 % complete
2518
--> Compiling 'verilog.xpm' library...
2519
    > Source Library = '/opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/ip/xpm'
2520
    > Compiled Path  = '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/xpm'
2521
 
2522
==============================================================================
2523
BEGIN_COMPILATION_MESSAGES(ies:verilog:xpm)
2524
ncvlog(64): 14.10-s005: (c) Copyright 1995-2014 Cadence Design Systems, Inc.
2525
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv
2526
        module xpm.xpm_cdc_single
2527
                errors: 0, warnings: 0
2528
        module xpm.xpm_cdc_gray
2529
                errors: 0, warnings: 0
2530
        module xpm.xpm_cdc_handshake
2531
                errors: 0, warnings: 0
2532
        module xpm.xpm_cdc_pulse
2533
                errors: 0, warnings: 0
2534
        module xpm.xpm_cdc_array_single
2535
                errors: 0, warnings: 0
2536
        module xpm.xpm_cdc_sync_rst
2537
                errors: 0, warnings: 0
2538
        module xpm.xpm_cdc_async_rst
2539
                errors: 0, warnings: 0
2540
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/ip/xpm/xpm_fifo/simulation/xpm_fifo_tb.sv
2541
        module xpm.xpm_fifo_tb
2542
                errors: 0, warnings: 0
2543
        module xpm.xpm_fifo_ex
2544
                errors: 0, warnings: 0
2545
        module xpm.xpm_fifo_gen_dverif
2546
                errors: 0, warnings: 0
2547
        module xpm.xpm_fifo_gen_rng
2548
                errors: 0, warnings: 0
2549
        module xpm.xpm_fifo_gen_dgen
2550
                errors: 0, warnings: 0
2551
        module xpm.xpm_fifo_gen_pctrl
2552
                errors: 0, warnings: 0
2553
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/ip/xpm/xpm_fifo/hdl/xpm_fifo.sv
2554
        module xpm.xpm_fifo_base
2555
                errors: 0, warnings: 0
2556
        module xpm.xpm_fifo_rst
2557
                errors: 0, warnings: 0
2558
        module xpm.xpm_counter_updn
2559
                errors: 0, warnings: 0
2560
        module xpm.xpm_fifo_reg_vec
2561
                errors: 0, warnings: 0
2562
        module xpm.xpm_fifo_reg_bit
2563
                errors: 0, warnings: 0
2564
        module xpm.xpm_reg_pipe_bit
2565
                errors: 0, warnings: 0
2566
        module xpm.xpm_fifo_sync
2567
                errors: 0, warnings: 0
2568
        module xpm.xpm_fifo_async
2569
                errors: 0, warnings: 0
2570
        module xpm.xpm_fifo_axis
2571
                errors: 0, warnings: 0
2572
file: /opt/cad/xilinx/Vivado2017/Vivado/2017.4/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv
2573
        module xpm.xpm_memory_base
2574
                errors: 0, warnings: 0
2575
        module xpm.asym_bwe_bb
2576
                errors: 0, warnings: 0
2577
        module xpm.xpm_memory_dpdistram
2578
                errors: 0, warnings: 0
2579
        module xpm.xpm_memory_dprom
2580
                errors: 0, warnings: 0
2581
        module xpm.xpm_memory_sdpram
2582
                errors: 0, warnings: 0
2583
        module xpm.xpm_memory_spram
2584
                errors: 0, warnings: 0
2585
        module xpm.xpm_memory_sprom
2586
                errors: 0, warnings: 0
2587
        module xpm.xpm_memory_tdpram
2588
                errors: 0, warnings: 0
2589
 
2590
END_COMPILATION_MESSAGES(ies:verilog:xpm)
2591
==============================================================================
2592
 
2593
    > Log File       = '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/xpm/.cxl.verilog.xpm.xpm.lin64.log'
2594
 
2595
compile_simlib[verilog.xpm]: 0 error(s), 0 warning(s), 100.00 % complete
2596
Copying setup file 'cds.lib' to '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb/aes128_ecb.cache/compile_simlib/cds.lib' ...
2597
 
2598
********************************************************************************************
2599
*                                  COMPILATION SUMMARY                                     *
2600
*                                                                                          *
2601
*  Simulator used: ies                                                                     *
2602
*  Compiled on: Tue Jul 28 09:51:19 2020                                                   *
2603
*                                                                                          *
2604
********************************************************************************************
2605
*  Library                        | Language | Mapped Library Name | Error(s) | Warning(s) *
2606
*------------------------------------------------------------------------------------------*
2607
*  secureip                       | verilog  | secureip            | 0        | 2          *
2608
*------------------------------------------------------------------------------------------*
2609
*  simprim                        | verilog  | simprims_ver        | 0        | 1          *
2610
*------------------------------------------------------------------------------------------*
2611
*  xpm                            | verilog  | xpm                 | 0        | 0          *
2612
*------------------------------------------------------------------------------------------*
2613
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.