OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [vivado.jou] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
#-----------------------------------------------------------
2
# Vivado v2017.4 (64-bit)
3
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
4
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
5
# Start of session at: Thu Jul 30 13:33:37 2020
6
# Process ID: 8661
7
# Current directory: /home/user/aes128/fpga/aes128_ecb_2017
8
# Command line: vivado
9
# Log file: /home/user/aes128/fpga/aes128_ecb_2017/vivado.log
10
# Journal file: /home/user/aes128/fpga/aes128_ecb_2017/vivado.jou
11
#-----------------------------------------------------------
12
start_gui
13
open_project /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.xpr
14
update_compile_order -fileset sources_1
15
open_run impl_1
16
open_hw
17
connect_hw_server
18
open_hw_target
19
disconnect_hw_server localhost:3121
20
connect_hw_server
21
open_hw_target
22
set_property PROGRAM.FILE {/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit} [get_hw_devices xc7k325t_0]
23
current_hw_device [get_hw_devices xc7k325t_0]
24
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7k325t_0] 0]
25
create_hw_cfgmem -hw_device [lindex [get_hw_devices] 0] -mem_dev [lindex [get_cfgmem_parts {28f00ap30t-bpi-x16}] 0]
26
set_property PROBES.FILE {} [get_hw_devices xc7k325t_0]
27
set_property FULL_PROBES.FILE {} [get_hw_devices xc7k325t_0]
28
set_property PROGRAM.FILE {/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit} [get_hw_devices xc7k325t_0]
29
program_hw_devices -disable_eos_check [get_hw_devices xc7k325t_0]
30
refresh_hw_device [lindex [get_hw_devices xc7k325t_0] 0]
31
close_hw
32
reset_run synth_1
33
launch_runs impl_1 -to_step write_bitstream -jobs 2
34
wait_on_run impl_1
35
open_hw
36
connect_hw_server
37
open_hw_target
38
set_property PROGRAM.FILE {/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit} [get_hw_devices xc7k325t_0]
39
current_hw_device [get_hw_devices xc7k325t_0]
40
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7k325t_0] 0]
41
create_hw_cfgmem -hw_device [lindex [get_hw_devices] 0] -mem_dev [lindex [get_cfgmem_parts {28f00ap30t-bpi-x16}] 0]
42
set_property PROBES.FILE {} [get_hw_devices xc7k325t_0]
43
set_property FULL_PROBES.FILE {} [get_hw_devices xc7k325t_0]
44
set_property PROGRAM.FILE {/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit} [get_hw_devices xc7k325t_0]
45
program_hw_devices [get_hw_devices xc7k325t_0]
46
refresh_hw_device [lindex [get_hw_devices xc7k325t_0] 0]
47
close_hw
48
close_design
49
close_project
50
open_project /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.xpr
51
update_compile_order -fileset sources_1
52
close_project
53
create_project uart_lb_test /home/user/aes128/fpga/uart_lb_test -part xc7k325tffg900-2
54
set_property board_part xilinx.com:kc705:part0:1.5 [current_project]
55
close [ open /home/user/aes128/fpga/uart_lb_test/src/top_wrap.v w ]
56
add_files /home/user/aes128/fpga/uart_lb_test/src/top_wrap.v
57
update_compile_order -fileset sources_1
58
file mkdir /home/user/aes128/fpga/uart_lb_test/uart_lb_test.srcs/constrs_1
59
close [ open /home/user/aes128/fpga/uart_lb_test/uart_lb_test.srcs/constrs_1/pinout.sdc w ]
60
add_files -fileset constrs_1 /home/user/aes128/fpga/uart_lb_test/uart_lb_test.srcs/constrs_1/pinout.sdc
61
launch_runs impl_1 -jobs 2
62
wait_on_run impl_1
63
launch_runs impl_1 -to_step write_bitstream -jobs 2
64
wait_on_run impl_1
65
set_property SEVERITY {Warning} [get_drc_checks NSTD-1]
66
reset_run impl_1 -prev_step
67
launch_runs impl_1 -to_step write_bitstream -jobs 2
68
wait_on_run impl_1
69
open_run impl_1
70
reset_run synth_1
71
launch_runs impl_1 -to_step write_bitstream -jobs 2
72
wait_on_run impl_1
73
close_project
74
open_project /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.xpr
75
update_compile_order -fileset sources_1
76
open_hw
77
connect_hw_server
78
open_hw_target
79
set_property PROGRAM.FILE {/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit} [get_hw_devices xc7k325t_0]
80
current_hw_device [get_hw_devices xc7k325t_0]
81
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7k325t_0] 0]
82
create_hw_cfgmem -hw_device [lindex [get_hw_devices] 0] -mem_dev [lindex [get_cfgmem_parts {28f00ap30t-bpi-x16}] 0]
83
reset_run impl_1 -prev_step
84
launch_runs impl_1 -to_step write_bitstream -jobs 2
85
wait_on_run impl_1
86
write_cfgmem  -format mcs -size 128 -interface BPIx16 -loadbit {up 0x00000000 "/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit" } -force -file "/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.mcs"
87
set_property PROGRAM.ADDRESS_RANGE  {use_file} [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
88
set_property PROGRAM.FILES [list "/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.mcs" ] [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
89
set_property PROGRAM.PRM_FILE {} [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
90
set_property PROGRAM.BPI_RS_PINS {none} [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
91
set_property PROGRAM.UNUSED_PIN_TERMINATION {pull-none} [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
92
set_property PROGRAM.BLANK_CHECK  0 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
93
set_property PROGRAM.ERASE  1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
94
set_property PROGRAM.CFG_PROGRAM  1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
95
set_property PROGRAM.VERIFY  1 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
96
set_property PROGRAM.CHECKSUM  0 [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
97
startgroup
98
if {![string equal [get_property PROGRAM.HW_CFGMEM_TYPE  [lindex [get_hw_devices xc7k325t_0] 0]] [get_property MEM_TYPE [get_property CFGMEM_PART [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]]]] }  { create_hw_bitstream -hw_device [lindex [get_hw_devices xc7k325t_0] 0] [get_property PROGRAM.HW_CFGMEM_BITFILE [ lindex [get_hw_devices xc7k325t_0] 0]]; program_hw_devices [lindex [get_hw_devices xc7k325t_0] 0]; };
99
program_hw_cfgmem -hw_cfgmem [ get_property PROGRAM.HW_CFGMEM [lindex [get_hw_devices xc7k325t_0] 0]]
100
endgroup

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.