OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [vivado_2077.backup.jou] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
#-----------------------------------------------------------
2
# Vivado v2017.4 (64-bit)
3
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
4
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
5
# Start of session at: Wed Jul 29 15:27:30 2020
6
# Process ID: 2077
7
# Current directory: /home/user/aes/fpga/aec128_ecb_2017
8
# Command line: vivado
9
# Log file: /home/user/aes/fpga/aec128_ecb_2017/vivado.log
10
# Journal file: /home/user/aes/fpga/aec128_ecb_2017/vivado.jou
11
#-----------------------------------------------------------
12
start_gui
13
open_project /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.xpr
14
update_compile_order -fileset sources_1
15
reset_run synth_1
16
launch_runs synth_1 -jobs 2
17
wait_on_run synth_1
18
launch_runs impl_1 -jobs 2
19
wait_on_run impl_1
20
open_run impl_1
21
launch_runs impl_1 -to_step write_bitstream -jobs 2
22
wait_on_run impl_1
23
write_cfgmem  -format mcs -size 128 -interface BPIx16 -loadbit {up 0x00000000 "/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit" } -force -file "/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.mcs"
24
open_hw
25
connect_hw_server
26
disconnect_hw_server localhost:3121
27
connect_hw_server

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.