OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [vivado_2077.backup.log] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
#-----------------------------------------------------------
2
# Vivado v2017.4 (64-bit)
3
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
4
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
5
# Start of session at: Wed Jul 29 15:27:30 2020
6
# Process ID: 2077
7
# Current directory: /home/user/aes/fpga/aec128_ecb_2017
8
# Command line: vivado
9
# Log file: /home/user/aes/fpga/aec128_ecb_2017/vivado.log
10
# Journal file: /home/user/aes/fpga/aec128_ecb_2017/vivado.jou
11
#-----------------------------------------------------------
12
start_gui
13
open_project /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.xpr
14
Scanning sources...
15
Finished scanning sources
16
INFO: [IP_Flow 19-234] Refreshing IP repositories
17
INFO: [IP_Flow 19-1704] No user IP repositories specified
18
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2017.4/data/ip'.
19
open_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 6033.367 ; gain = 52.977 ; free physical = 4968 ; free virtual = 8475
20
update_compile_order -fileset sources_1
21
reset_run synth_1
22
launch_runs synth_1 -jobs 2
23
[Wed Jul 29 15:29:53 2020] Launched synth_1...
24
Run output will be captured here: /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/synth_1/runme.log
25
launch_runs impl_1 -jobs 2
26
[Wed Jul 29 15:33:07 2020] Launched impl_1...
27
Run output will be captured here: /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/impl_1/runme.log
28
WARNING: [IP_Flow 19-3899] Cannot get the environment domain name variable for the component vendor name. Setting the vendor name to 'user.org'.
29
open_run impl_1
30
INFO: [Netlist 29-17] Analyzing 911 Unisim elements for replacement
31
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
32
INFO: [Project 1-479] Netlist was created with Vivado 2017.4
33
INFO: [Device 21-403] Loading part xc7k325tffg900-2
34
INFO: [Project 1-570] Preparing netlist for logic optimization
35
Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/.Xil/Vivado-2077-orme22/dcp4/aes128_ecb_fpga_wrap_board.xdc]
36
Finished Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/.Xil/Vivado-2077-orme22/dcp4/aes128_ecb_fpga_wrap_board.xdc]
37
Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/.Xil/Vivado-2077-orme22/dcp4/aes128_ecb_fpga_wrap_early.xdc]
38
INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xdc:57]
39
INFO: [Timing 38-2] Deriving generated clocks [/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xdc:57]
40
get_clocks: Time (s): cpu = 00:00:13 ; elapsed = 00:00:20 . Memory (MB): peak = 6913.031 ; gain = 550.430 ; free physical = 4069 ; free virtual = 7687
41
Finished Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/.Xil/Vivado-2077-orme22/dcp4/aes128_ecb_fpga_wrap_early.xdc]
42
Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/.Xil/Vivado-2077-orme22/dcp4/aes128_ecb_fpga_wrap.xdc]
43
Finished Parsing XDC File [/home/user/aes/fpga/aec128_ecb_2017/.Xil/Vivado-2077-orme22/dcp4/aes128_ecb_fpga_wrap.xdc]
44
Reading XDEF placement.
45
Reading placer database...
46
Reading XDEF routing.
47
Read XDEF File: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.18 . Memory (MB): peak = 6918.031 ; gain = 5.000 ; free physical = 4064 ; free virtual = 7683
48
Restored from archive | CPU: 0.160000 secs | Memory: 4.181816 MB |
49
Finished XDEF File Restore: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.18 . Memory (MB): peak = 6918.031 ; gain = 5.000 ; free physical = 4064 ; free virtual = 7683
50
INFO: [Project 1-111] Unisim Transformation Summary:
51
No Unisim elements were transformed.
52
 
53
open_run: Time (s): cpu = 00:00:30 ; elapsed = 00:00:43 . Memory (MB): peak = 7091.215 ; gain = 986.719 ; free physical = 3984 ; free virtual = 7596
54
WARNING: [IP_Flow 19-3899] Cannot get the environment domain name variable for the component vendor name. Setting the vendor name to 'user.org'.
55
launch_runs impl_1 -to_step write_bitstream -jobs 2
56
[Wed Jul 29 15:36:50 2020] Launched impl_1...
57
Run output will be captured here: /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/impl_1/runme.log
58
write_cfgmem  -format mcs -size 128 -interface BPIx16 -loadbit {up 0x00000000 "/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit" } -force -file "/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.mcs"
59
Command: write_cfgmem -format mcs -size 128 -interface BPIx16 -loadbit {up 0x00000000 "/home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit" } -force -file /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.mcs
60
Creating config memory files...
61
INFO: [Writecfgmem 68-23] Start address provided has been multiplied by a factor of 2 due to the use of interface BPIX16.
62
Creating bitstream load up from address 0x00000000
63
Loading bitfile /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit
64
Writing file /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.mcs
65
Writing log file /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.prm
66
===================================
67
Configuration Memory information
68
===================================
69
File Format        MCS
70
Interface          BPIX16
71
Size               128M
72
Start Address      0x00000000
73
End Address        0x07FFFFFF
74
 
75
Addr1         Addr2         Date                    File(s)
76
0x00000000    0x00AE9D9B    Jul 29 15:37:54 2020    /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.bit
77
1 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
78
write_cfgmem completed successfully
79
open_hw
80
connect_hw_server
81
INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
82
INFO: [Labtools 27-2222] Launching hw_server...
83
INFO: [Labtools 27-2221] Launch Output:
84
 
85
****** Xilinx hw_server v2017.4
86
  **** Build date : Dec 15 2017-21:02:11
87
    ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
88
 
89
 
90
ERROR: [Labtoolstcl 44-494] There is no active target available for server at localhost.
91
 Targets(s) ", jsn1" may be locked by another hw_server.
92
disconnect_hw_server localhost:3121
93
connect_hw_server
94
INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
95
ERROR: [Labtoolstcl 44-494] There is no active target available for server at localhost.
96
 Targets(s) ", jsn1jsn2jsn3jsn4jsn5jsn6jsn7jsn8jsn9jsn10" may be locked by another hw_server.
97
exit
98
INFO: [Common 17-206] Exiting Vivado at Wed Jul 29 15:45:15 2020...

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.