OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [vivado_28010.backup.jou] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
#-----------------------------------------------------------
2
# Vivado v2017.4 (64-bit)
3
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
4
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
5
# Start of session at: Thu Jul 30 09:54:46 2020
6
# Process ID: 28010
7
# Current directory: /home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb_2017
8
# Command line: vivado
9
# Log file: /home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb_2017/vivado.log
10
# Journal file: /home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb_2017/vivado.jou
11
#-----------------------------------------------------------
12
start_gui
13
open_project /home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb_2017/aes128_ecb.xpr
14
update_compile_order -fileset sources_1
15
open_run impl_1
16
write_verilog -force -mode timesim ./netlist/aes128_ecb_wrap.v
17
write_sdf -force -mode timesim ./netlist/aes128_ecb_wrap.sdf
18
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
19
close_design
20
open_run synth_1 -name synth_1
21
save_constraints
22
reset_run synth_1
23
launch_runs synth_1 -jobs 16
24
wait_on_run synth_1
25
refresh_design
26
create_generated_clock -name clk_gen -source [get_pins clkgen/clk_in1_p] -divide_by 2 -add -master_clock [get_clocks CLK_IN_P] [get_pins clkgen/clk_out1]
27
save_constraints
28
set_input_delay -clock [get_clocks clk_gen] 1.0 [get_ports uart_rx]
29
set_output_delay -clock [get_clocks clk_gen] 1.0 [get_ports uart_tx]
30
save_constraints
31
reset_run synth_1
32
launch_runs synth_1 -jobs 16
33
wait_on_run synth_1
34
close_design
35
open_run synth_1 -name synth_1
36
launch_runs impl_1 -jobs 16
37
wait_on_run impl_1
38
close_design
39
open_run impl_1
40
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
41
write_verilog -force -mode timesim ./netlist/aes128_ecb_wrap.v
42
write_sdf -force -mode timesim ./netlist/aes128_ecb_wrap.sdf
43
close_design
44
reset_run synth_1
45
launch_runs impl_1 -jobs 16
46
wait_on_run impl_1
47
open_run impl_1
48
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
49
write_verilog -force -mode timesim ./netlist/aes128_ecb_wrap.v
50
write_sdf -force -mode timesim ./netlist/aes128_ecb_wrap.sdf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.