OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [vivado_3858.backup.jou] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
#-----------------------------------------------------------
2
# Vivado v2017.4 (64-bit)
3
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
4
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
5
# Start of session at: Wed Jul 29 15:17:50 2020
6
# Process ID: 3858
7
# Current directory: /home/user/aes/fpga/aec128_ecb_2017
8
# Command line: vivado
9
# Log file: /home/user/aes/fpga/aec128_ecb_2017/vivado.log
10
# Journal file: /home/user/aes/fpga/aec128_ecb_2017/vivado.jou
11
#-----------------------------------------------------------
12
start_gui
13
open_project /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.xpr
14
update_compile_order -fileset sources_1
15
reset_run impl_1
16
launch_runs impl_1 -jobs 2
17
wait_on_run impl_1
18
reset_run clk_gen_synth_1
19
reset_run axi_uartlite_module_synth_1
20
reset_run synth_1
21
launch_runs synth_1 -jobs 2
22
wait_on_run synth_1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.