OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [vivado_5806.backup.log] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 vv_gulyaev
#-----------------------------------------------------------
2
# Vivado v2017.4 (64-bit)
3
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
4
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
5
# Start of session at: Thu Jul 30 12:59:24 2020
6
# Process ID: 5806
7
# Current directory: /home/user/aes128/fpga/aes128_ecb_2017
8
# Command line: vivado
9
# Log file: /home/user/aes128/fpga/aes128_ecb_2017/vivado.log
10
# Journal file: /home/user/aes128/fpga/aes128_ecb_2017/vivado.jou
11
#-----------------------------------------------------------
12
start_gui
13
open_project /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.xpr
14
INFO: [Project 1-313] Project file moved from '/home/v.gulyaev/Project/vozhak/trunk/rtl/aes128/fpga/aes128_ecb_2017' since last save.
15
Scanning sources...
16
Finished scanning sources
17
INFO: [IP_Flow 19-234] Refreshing IP repositories
18
INFO: [IP_Flow 19-1704] No user IP repositories specified
19
INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/opt/Xilinx/Vivado/2017.4/data/ip'.
20
open_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 6028.020 ; gain = 32.262 ; free physical = 2166 ; free virtual = 8341
21
update_compile_order -fileset sources_1
22
reset_run impl_1
23
launch_runs impl_1 -jobs 2
24
[Thu Jul 30 13:01:29 2020] Launched clk_gen_synth_1, axi_uartlite_module_synth_1, synth_1...
25
Run output will be captured here:
26
clk_gen_synth_1: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/runme.log
27
axi_uartlite_module_synth_1: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/axi_uartlite_module_synth_1/runme.log
28
synth_1: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/synth_1/runme.log
29
[Thu Jul 30 13:01:29 2020] Launched impl_1...
30
Run output will be captured here: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/runme.log
31
reset_run synth_1
32
launch_runs synth_1 -jobs 2
33
[Thu Jul 30 13:13:35 2020] Launched synth_1...
34
Run output will be captured here: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/synth_1/runme.log
35
launch_runs impl_1 -jobs 2
36
[Thu Jul 30 13:18:19 2020] Launched impl_1...
37
Run output will be captured here: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/runme.log
38
open_run impl_1
39
INFO: [Netlist 29-17] Analyzing 920 Unisim elements for replacement
40
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
41
INFO: [Project 1-479] Netlist was created with Vivado 2017.4
42
INFO: [Device 21-403] Loading part xc7k325tffg900-2
43
INFO: [Project 1-570] Preparing netlist for logic optimization
44
Parsing XDC File [/home/user/aes128/fpga/aes128_ecb_2017/.Xil/Vivado-5806-orme22/dcp6/aes128_ecb_fpga_wrap_board.xdc]
45
Finished Parsing XDC File [/home/user/aes128/fpga/aes128_ecb_2017/.Xil/Vivado-5806-orme22/dcp6/aes128_ecb_fpga_wrap_board.xdc]
46
Parsing XDC File [/home/user/aes128/fpga/aes128_ecb_2017/.Xil/Vivado-5806-orme22/dcp6/aes128_ecb_fpga_wrap_early.xdc]
47
INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xdc:57]
48
INFO: [Timing 38-2] Deriving generated clocks [/home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.srcs/sources_1/ip/clk_gen/clk_gen.xdc:57]
49
get_clocks: Time (s): cpu = 00:00:12 ; elapsed = 00:00:20 . Memory (MB): peak = 6947.934 ; gain = 551.656 ; free physical = 2456 ; free virtual = 7532
50
Finished Parsing XDC File [/home/user/aes128/fpga/aes128_ecb_2017/.Xil/Vivado-5806-orme22/dcp6/aes128_ecb_fpga_wrap_early.xdc]
51
Parsing XDC File [/home/user/aes128/fpga/aes128_ecb_2017/.Xil/Vivado-5806-orme22/dcp6/aes128_ecb_fpga_wrap.xdc]
52
Finished Parsing XDC File [/home/user/aes128/fpga/aes128_ecb_2017/.Xil/Vivado-5806-orme22/dcp6/aes128_ecb_fpga_wrap.xdc]
53
Reading XDEF placement.
54
Reading placer database...
55
Reading XDEF routing.
56
Read XDEF File: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.18 . Memory (MB): peak = 6952.934 ; gain = 5.000 ; free physical = 2451 ; free virtual = 7528
57
Restored from archive | CPU: 0.170000 secs | Memory: 4.383476 MB |
58
Finished XDEF File Restore: Time (s): cpu = 00:00:00.16 ; elapsed = 00:00:00.18 . Memory (MB): peak = 6952.934 ; gain = 5.000 ; free physical = 2451 ; free virtual = 7528
59
INFO: [Project 1-111] Unisim Transformation Summary:
60
No Unisim elements were transformed.
61
 
62
open_run: Time (s): cpu = 00:00:28 ; elapsed = 00:00:42 . Memory (MB): peak = 7123.285 ; gain = 941.883 ; free physical = 2362 ; free virtual = 7436
63
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
64
INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2, Delay Type: min_max.
65
INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
66
launch_runs impl_1 -to_step write_bitstream -jobs 2
67
[Thu Jul 30 13:22:05 2020] Launched impl_1...
68
Run output will be captured here: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/runme.log
69
open_hw
70
connect_hw_server
71
INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
72
INFO: [Labtools 27-2222] Launching hw_server...
73
INFO: [Labtools 27-2221] Launch Output:
74
 
75
****** Xilinx hw_server v2017.4
76
  **** Build date : Dec 15 2017-21:02:11
77
    ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
78
 
79
 
80
ERROR: [Labtoolstcl 44-494] There is no active target available for server at localhost.
81
 Targets(s) ", jsn1" may be locked by another hw_server.
82
disconnect_hw_server localhost:3121
83
connect_hw_server
84
INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
85
ERROR: [Labtoolstcl 44-494] There is no active target available for server at localhost.
86
 Targets(s) ", jsn1jsn2jsn3jsn4" may be locked by another hw_server.
87
refresh_hw_server {localhost:3121}
88
WARNING: [Labtoolstcl 44-27] No hardware targets exist on the server [localhost:3121]
89
Check to make sure the cable targets connected to this machine are properly connected
90
and powered up, then use the refresh_hw_server command to re-register the hardware targets.
91
refresh_hw_server {localhost:3121}
92
WARNING: [Labtoolstcl 44-27] No hardware targets exist on the server [localhost:3121]
93
Check to make sure the cable targets connected to this machine are properly connected
94
and powered up, then use the refresh_hw_server command to re-register the hardware targets.
95
refresh_hw_server {localhost:3121}
96
WARNING: [Labtoolstcl 44-27] No hardware targets exist on the server [localhost:3121]
97
Check to make sure the cable targets connected to this machine are properly connected
98
and powered up, then use the refresh_hw_server command to re-register the hardware targets.
99
exit
100
INFO: [Common 17-206] Exiting Vivado at Thu Jul 30 13:32:52 2020...

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.