OpenCores
URL https://opencores.org/ocsvn/aes-128_pipelined_encryption/aes-128_pipelined_encryption/trunk

Subversion Repositories aes-128_pipelined_encryption

[/] [aes-128_pipelined_encryption/] [tags/] [R0/] [reports/] [Top_PipelinedCipher.twr] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Amr_Salah
--------------------------------------------------------------------------------
2
Release 12.1 Trace  (nt64)
3
Copyright (c) 1995-2010 Xilinx, Inc.  All rights reserved.
4
 
5
E:\ISE12\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 -s 2 -n 3
6
-fastpaths -xml Top_PipelinedCipher.twx Top_PipelinedCipher.ncd -o
7
Top_PipelinedCipher.twr Top_PipelinedCipher.pcf -ucf Top_PipelinedCipher.ucf
8
 
9
Design file:              Top_PipelinedCipher.ncd
10
Physical constraint file: Top_PipelinedCipher.pcf
11
Device,package,speed:     xc6vcx240t,ff784,C,-2 (PRELIMINARY 1.04 2010-04-09)
12
Report level:             verbose report
13
 
14
Environment Variable      Effect
15
--------------------      ------
16
NONE                      No environment variables were set
17
--------------------------------------------------------------------------------
18
 
19
INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths
20
   option. All paths that are not constrained will be reported in the
21
   unconstrained paths section(s) of the report.
22
INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on
23
   a 50 Ohm transmission line loading model.  For the details of this model,
24
   and for more information on accounting for different loading conditions,
25
   please see the device datasheet.
26
 
27
================================================================================
28
Timing constraint: TS_clk = PERIOD TIMEGRP "clk" 5 ns HIGH 50%;
29
 
30
 75065 paths analyzed, 74633 endpoints analyzed, 0 failing endpoints
31
 
32
 Minimum period is   4.952ns.
33
--------------------------------------------------------------------------------
34
 
35
Paths for end point ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout_0 (SLICE_X40Y71.CE), 1 path
36
--------------------------------------------------------------------------------
37
Slack (setup path):     0.048ns (requirement - (data path - clock path skew + uncertainty))
38
  Source:               U0_ARK/valid_out (FF)
39
  Destination:          ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout_0 (FF)
40
  Requirement:          5.000ns
41
  Data Path Delay:      4.893ns (Levels of Logic = 0)
42
  Clock Path Skew:      -0.024ns (1.569 - 1.593)
43
  Source Clock:         clk_BUFGP rising at 0.000ns
44
  Destination Clock:    clk_BUFGP rising at 5.000ns
45
  Clock Uncertainty:    0.035ns
46
 
47
  Clock Uncertainty:          0.035ns  ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
48
    Total System Jitter (TSJ):  0.070ns
49
    Total Input Jitter (TIJ):   0.000ns
50
    Discrete Jitter (DJ):       0.000ns
51
    Phase Error (PE):           0.000ns
52
 
53
  Maximum Data Path at Slow Process Corner: U0_ARK/valid_out to ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout_0
54
    Location             Delay type         Delay(ns)  Physical Resource
55
                                                       Logical Resource(s)
56
    -------------------------------------------------  -------------------
57
    SLICE_X62Y140.AQ     Tcko                  0.337   U0_ARK/valid_out
58
                                                       U0_ARK/valid_out
59
    SLICE_X40Y71.CE      net (fanout=129)      4.272   U0_ARK/valid_out
60
    SLICE_X40Y71.CLK     Tceck                 0.284   ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout<0>
61
                                                       ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout_0
62
    -------------------------------------------------  ---------------------------
63
    Total                                      4.893ns (0.621ns logic, 4.272ns route)
64
                                                       (12.7% logic, 87.3% route)
65
 
66
--------------------------------------------------------------------------------
67
 
68
Paths for end point ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout_1 (SLICE_X43Y72.CE), 1 path
69
--------------------------------------------------------------------------------
70
Slack (setup path):     0.150ns (requirement - (data path - clock path skew + uncertainty))
71
  Source:               U0_ARK/valid_out (FF)
72
  Destination:          ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout_1 (FF)
73
  Requirement:          5.000ns
74
  Data Path Delay:      4.797ns (Levels of Logic = 0)
75
  Clock Path Skew:      -0.018ns (1.575 - 1.593)
76
  Source Clock:         clk_BUFGP rising at 0.000ns
77
  Destination Clock:    clk_BUFGP rising at 5.000ns
78
  Clock Uncertainty:    0.035ns
79
 
80
  Clock Uncertainty:          0.035ns  ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
81
    Total System Jitter (TSJ):  0.070ns
82
    Total Input Jitter (TIJ):   0.000ns
83
    Discrete Jitter (DJ):       0.000ns
84
    Phase Error (PE):           0.000ns
85
 
86
  Maximum Data Path at Slow Process Corner: U0_ARK/valid_out to ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout_1
87
    Location             Delay type         Delay(ns)  Physical Resource
88
                                                       Logical Resource(s)
89
    -------------------------------------------------  -------------------
90
    SLICE_X62Y140.AQ     Tcko                  0.337   U0_ARK/valid_out
91
                                                       U0_ARK/valid_out
92
    SLICE_X43Y72.CE      net (fanout=129)      4.142   U0_ARK/valid_out
93
    SLICE_X43Y72.CLK     Tceck                 0.318   ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout<1>
94
                                                       ROUND[0].U_ROUND/U_SUB/ROM[4].ROM/dout_1
95
    -------------------------------------------------  ---------------------------
96
    Total                                      4.797ns (0.655ns logic, 4.142ns route)
97
                                                       (13.7% logic, 86.3% route)
98
 
99
--------------------------------------------------------------------------------
100
 
101
Paths for end point ROUND[0].U_ROUND/U_SUB/ROM[3].ROM/dout_2 (SLICE_X43Y76.CE), 1 path
102
--------------------------------------------------------------------------------
103
Slack (setup path):     0.159ns (requirement - (data path - clock path skew + uncertainty))
104
  Source:               U0_ARK/valid_out (FF)
105
  Destination:          ROUND[0].U_ROUND/U_SUB/ROM[3].ROM/dout_2 (FF)
106
  Requirement:          5.000ns
107
  Data Path Delay:      4.789ns (Levels of Logic = 0)
108
  Clock Path Skew:      -0.017ns (1.576 - 1.593)
109
  Source Clock:         clk_BUFGP rising at 0.000ns
110
  Destination Clock:    clk_BUFGP rising at 5.000ns
111
  Clock Uncertainty:    0.035ns
112
 
113
  Clock Uncertainty:          0.035ns  ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE
114
    Total System Jitter (TSJ):  0.070ns
115
    Total Input Jitter (TIJ):   0.000ns
116
    Discrete Jitter (DJ):       0.000ns
117
    Phase Error (PE):           0.000ns
118
 
119
  Maximum Data Path at Slow Process Corner: U0_ARK/valid_out to ROUND[0].U_ROUND/U_SUB/ROM[3].ROM/dout_2
120
    Location             Delay type         Delay(ns)  Physical Resource
121
                                                       Logical Resource(s)
122
    -------------------------------------------------  -------------------
123
    SLICE_X62Y140.AQ     Tcko                  0.337   U0_ARK/valid_out
124
                                                       U0_ARK/valid_out
125
    SLICE_X43Y76.CE      net (fanout=129)      4.134   U0_ARK/valid_out
126
    SLICE_X43Y76.CLK     Tceck                 0.318   ROUND[0].U_ROUND/U_SUB/ROM[3].ROM/dout<2>
127
                                                       ROUND[0].U_ROUND/U_SUB/ROM[3].ROM/dout_2
128
    -------------------------------------------------  ---------------------------
129
    Total                                      4.789ns (0.655ns logic, 4.134ns route)
130
                                                       (13.7% logic, 86.3% route)
131
 
132
--------------------------------------------------------------------------------
133
 
134
Hold Paths: TS_clk = PERIOD TIMEGRP "clk" 5 ns HIGH 50%;
135
--------------------------------------------------------------------------------
136
 
137
Paths for end point U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/round_key_delayed_4 (SLICE_X60Y160.A5), 1 path
138
--------------------------------------------------------------------------------
139
Slack (hold path):      0.006ns (requirement - (clock path skew + uncertainty - data path))
140
  Source:               U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/SUB_U/ROM[0].ROM/dout_4 (FF)
141
  Destination:          U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/round_key_delayed_4 (FF)
142
  Requirement:          0.000ns
143
  Data Path Delay:      0.116ns (Levels of Logic = 1)
144
  Clock Path Skew:      0.110ns (0.784 - 0.674)
145
  Source Clock:         clk_BUFGP rising at 5.000ns
146
  Destination Clock:    clk_BUFGP rising at 5.000ns
147
  Clock Uncertainty:    0.000ns
148
 
149
  Minimum Data Path at Fast Process Corner: U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/SUB_U/ROM[0].ROM/dout_4 to U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/round_key_delayed_4
150
    Location             Delay type         Delay(ns)  Physical Resource
151
                                                       Logical Resource(s)
152
    -------------------------------------------------  -------------------
153
    SLICE_X61Y158.BQ     Tcko                  0.098   U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/SUB_U/ROM[0].ROM/dout<4>
154
                                                       U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/SUB_U/ROM[0].ROM/dout_4
155
    SLICE_X60Y160.A5     net (fanout=2)        0.119   U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/SUB_U/ROM[0].ROM/dout<4>
156
    SLICE_X60Y160.CLK    Tah         (-Th)     0.101   U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/round_key_delayed<39>
157
                                                       U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/temp_round_key<4>1
158
                                                       U_KEYEXP/ROUND_KEY_GEN[8].RKGEN_U/round_key_delayed_4
159
    -------------------------------------------------  ---------------------------
160
    Total                                      0.116ns (-0.003ns logic, 0.119ns route)
161
                                                       (-2.6% logic, 102.6% route)
162
 
163
--------------------------------------------------------------------------------
164
 
165
Paths for end point U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/round_key_delayed_12 (SLICE_X40Y160.A5), 1 path
166
--------------------------------------------------------------------------------
167
Slack (hold path):      0.016ns (requirement - (clock path skew + uncertainty - data path))
168
  Source:               U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/Key_SecondStage_12 (FF)
169
  Destination:          U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/round_key_delayed_12 (FF)
170
  Requirement:          0.000ns
171
  Data Path Delay:      0.124ns (Levels of Logic = 1)
172
  Clock Path Skew:      0.108ns (0.748 - 0.640)
173
  Source Clock:         clk_BUFGP rising at 5.000ns
174
  Destination Clock:    clk_BUFGP rising at 5.000ns
175
  Clock Uncertainty:    0.000ns
176
 
177
  Minimum Data Path at Fast Process Corner: U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/Key_SecondStage_12 to U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/round_key_delayed_12
178
    Location             Delay type         Delay(ns)  Physical Resource
179
                                                       Logical Resource(s)
180
    -------------------------------------------------  -------------------
181
    SLICE_X40Y159.CQ     Tcko                  0.115   U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/Key_SecondStage<14>
182
                                                       U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/Key_SecondStage_12
183
    SLICE_X40Y160.A5     net (fanout=1)        0.110   U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/Key_SecondStage<12>
184
    SLICE_X40Y160.CLK    Tah         (-Th)     0.101   U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/round_key_delayed<47>
185
                                                       U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/temp_round_key<12>1
186
                                                       U_KEYEXP/ROUND_KEY_GEN[7].RKGEN_U/round_key_delayed_12
187
    -------------------------------------------------  ---------------------------
188
    Total                                      0.124ns (0.014ns logic, 0.110ns route)
189
                                                       (11.3% logic, 88.7% route)
190
 
191
--------------------------------------------------------------------------------
192
 
193
Paths for end point ROUND[8].U_ROUND/U_MIX/data_out_38 (SLICE_X54Y160.B6), 1 path
194
--------------------------------------------------------------------------------
195
Slack (hold path):      0.028ns (requirement - (clock path skew + uncertainty - data path))
196
  Source:               ROUND[8].U_ROUND/U_SH/data_out_46 (FF)
197
  Destination:          ROUND[8].U_ROUND/U_MIX/data_out_38 (FF)
198
  Requirement:          0.000ns
199
  Data Path Delay:      0.137ns (Levels of Logic = 1)
200
  Clock Path Skew:      0.109ns (0.773 - 0.664)
201
  Source Clock:         clk_BUFGP rising at 5.000ns
202
  Destination Clock:    clk_BUFGP rising at 5.000ns
203
  Clock Uncertainty:    0.000ns
204
 
205
  Minimum Data Path at Fast Process Corner: ROUND[8].U_ROUND/U_SH/data_out_46 to ROUND[8].U_ROUND/U_MIX/data_out_38
206
    Location             Delay type         Delay(ns)  Physical Resource
207
                                                       Logical Resource(s)
208
    -------------------------------------------------  -------------------
209
    SLICE_X54Y159.DQ     Tcko                  0.115   ROUND[8].U_ROUND/U_SH/data_out<46>
210
                                                       ROUND[8].U_ROUND/U_SH/data_out_46
211
    SLICE_X54Y160.B6     net (fanout=5)        0.099   ROUND[8].U_ROUND/U_SH/data_out<46>
212
    SLICE_X54Y160.CLK    Tah         (-Th)     0.077   ROUND[8].U_ROUND/U_MIX/data_out<40>
213
                                                       ROUND[8].U_ROUND/U_MIX/Mxor_State_Mulx3[8][7]_State_Mulx2[11][7]_xor_99_OUT_6_xo<0>1
214
                                                       ROUND[8].U_ROUND/U_MIX/data_out_38
215
    -------------------------------------------------  ---------------------------
216
    Total                                      0.137ns (0.038ns logic, 0.099ns route)
217
                                                       (27.7% logic, 72.3% route)
218
 
219
--------------------------------------------------------------------------------
220
 
221
Component Switching Limit Checks: TS_clk = PERIOD TIMEGRP "clk" 5 ns HIGH 50%;
222
--------------------------------------------------------------------------------
223
Slack: 3.571ns (period - min period limit)
224
  Period: 5.000ns
225
  Min period limit: 1.429ns (699.790MHz) (Tbcper_I)
226
  Physical resource: clk_BUFGP/BUFG/I0
227
  Logical resource: clk_BUFGP/BUFG/I0
228
  Location pin: BUFGCTRL_X0Y0.I0
229
  Clock network: clk_BUFGP/IBUFG
230
--------------------------------------------------------------------------------
231
Slack: 4.168ns (period - (min high pulse limit / (high pulse / period)))
232
  Period: 5.000ns
233
  High pulse: 2.500ns
234
  High pulse limit: 0.416ns (Trpw)
235
  Physical resource: U_KEYEXP/ROUND_KEY_GEN[2].RKGEN_U/SUB_U/ROM[2].ROM/dout<5>/SR
236
  Logical resource: U_KEYEXP/ROUND_KEY_GEN[2].RKGEN_U/SUB_U/ROM[2].ROM/dout_5/SR
237
  Location pin: SLICE_X0Y81.SR
238
  Clock network: ROUND[0].U_ROUND/U_KEY/reset_inv_BUFG
239
--------------------------------------------------------------------------------
240
Slack: 4.168ns (period - (min high pulse limit / (high pulse / period)))
241
  Period: 5.000ns
242
  High pulse: 2.500ns
243
  High pulse limit: 0.416ns (Trpw)
244
  Physical resource: U_KEYEXP/ROUND_KEY_GEN[2].RKGEN_U/SUB_U/ROM[2].ROM/dout<1>/SR
245
  Logical resource: U_KEYEXP/ROUND_KEY_GEN[2].RKGEN_U/SUB_U/ROM[2].ROM/dout_1/SR
246
  Location pin: SLICE_X0Y85.SR
247
  Clock network: ROUND[0].U_ROUND/U_KEY/reset_inv_BUFG
248
--------------------------------------------------------------------------------
249
 
250
 
251
All constraints were met.
252
 
253
 
254
Data Sheet report:
255
-----------------
256
All values displayed in nanoseconds (ns)
257
 
258
Clock to Setup on destination clock clk
259
---------------+---------+---------+---------+---------+
260
               | Src:Rise| Src:Fall| Src:Rise| Src:Fall|
261
Source Clock   |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall|
262
---------------+---------+---------+---------+---------+
263
clk            |    4.952|         |         |         |
264
---------------+---------+---------+---------+---------+
265
 
266
 
267
Timing summary:
268
---------------
269
 
270
Timing errors: 0  Score: 0  (Setup/Max: 0, Hold: 0)
271
 
272
Constraints cover 75065 paths, 0 nets, and 69159 connections
273
 
274
Design statistics:
275
   Minimum period:   4.952ns{1}   (Maximum frequency: 201.939MHz)
276
 
277
 
278
------------------------------------Footnotes-----------------------------------
279
1)  The minimum period statistic assumes all single cycle delays.
280
 
281
Analysis completed Wed Jul 17 15:21:24 2013
282
--------------------------------------------------------------------------------
283
 
284
Trace Settings:
285
-------------------------
286
Trace Settings
287
 
288
Peak Memory Usage: 873 MB
289
 
290
 
291
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.