OpenCores
URL https://opencores.org/ocsvn/aes-128_pipelined_encryption/aes-128_pipelined_encryption/trunk

Subversion Repositories aes-128_pipelined_encryption

[/] [aes-128_pipelined_encryption/] [tags/] [R0/] [reports/] [Top_PipelinedCipher_map.mrp] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Amr_Salah
Release 12.1 Map M.53d (nt64)
2
Xilinx Mapping Report File for Design 'Top_PipelinedCipher'
3
 
4
Design Information
5
------------------
6
Command Line   : map -intstyle ise -p xc6vcx240t-ff784-2 -w -ol high -t 1 -xt 0
7
-register_duplication off -global_opt off -ir off -pr off -lc off -power off -o
8
Top_PipelinedCipher_map.ncd Top_PipelinedCipher.ngd Top_PipelinedCipher.pcf
9
Target Device  : xc6vcx240t
10
Target Package : ff784
11
Target Speed   : -2
12
Mapper Version : virtex6 -- $Revision: 1.52 $
13
Mapped Date    : Wed Jul 17 15:14:08 2013
14
 
15
Design Summary
16
--------------
17
Number of errors:      0
18
Number of warnings:    0
19
Slice Logic Utilization:
20
  Number of Slice Registers:                10,769 out of 301,440    3%
21
    Number used as Flip Flops:              10,769
22
    Number used as Latches:                      0
23
    Number used as Latch-thrus:                  0
24
    Number used as AND/OR logics:                0
25
  Number of Slice LUTs:                     12,475 out of 150,720    8%
26
    Number used as logic:                    9,842 out of 150,720    6%
27
      Number using O6 output only:           9,081
28
      Number using O5 output only:               0
29
      Number using O5 and O6:                  761
30
      Number used as ROM:                        0
31
    Number used as Memory:                       0 out of  58,400    0%
32
    Number used exclusively as route-thrus:  2,633
33
      Number with same-slice register load:  2,633
34
      Number with same-slice carry load:         0
35
      Number with other load:                    0
36
 
37
Slice Logic Distribution:
38
  Number of occupied Slices:                 3,214 out of  37,680    8%
39
  Number of LUT Flip Flop pairs used:       12,527
40
    Number with an unused Flip Flop:         5,031 out of  12,527   40%
41
    Number with an unused LUT:                  52 out of  12,527    1%
42
    Number of fully used LUT-FF pairs:       7,444 out of  12,527   59%
43
    Number of unique control sets:              82
44
    Number of slice register sites lost
45
      to control set restrictions:               7 out of 301,440    1%
46
 
47
  A LUT Flip Flop pair for this architecture represents one LUT paired with
48
  one Flip Flop within a slice.  A control set is a unique combination of
49
  clock, reset, set, and enable signals for a registered element.
50
  The Slice Logic Distribution report is not meaningful if the design is
51
  over-mapped for a non-slice resource or if Placement fails.
52
  OVERMAPPING of BRAM resources should be ignored if the design is
53
  over-mapped for a non-BRAM resource or if placement fails.
54
 
55
IO Utilization:
56
  Number of bonded IOBs:                       389 out of     400   97%
57
 
58
Specific Feature Utilization:
59
  Number of RAMB36E1/FIFO36E1s:                  0 out of     416    0%
60
  Number of RAMB18E1/FIFO18E1s:                  0 out of     832    0%
61
  Number of BUFG/BUFGCTRLs:                      2 out of      32    6%
62
    Number used as BUFGs:                        2
63
    Number used as BUFGCTRLs:                    0
64
  Number of ILOGICE1/ISERDESE1s:                 0 out of     720    0%
65
  Number of OLOGICE1/OSERDESE1s:                 0 out of     720    0%
66
  Number of BSCANs:                              0 out of       4    0%
67
  Number of BUFHCEs:                             0 out of     144    0%
68
  Number of BUFOs:                               0 out of      36    0%
69
  Number of BUFIODQSs:                           0 out of      72    0%
70
  Number of BUFRs:                               0 out of      36    0%
71
  Number of CAPTUREs:                            0 out of       1    0%
72
  Number of DSP48E1s:                            0 out of     768    0%
73
  Number of EFUSE_USRs:                          0 out of       1    0%
74
  Number of GTXE1s:                              0 out of      12    0%
75
  Number of IBUFDS_GTXE1s:                       0 out of       8    0%
76
  Number of ICAPs:                               0 out of       2    0%
77
  Number of IDELAYCTRLs:                         0 out of      18    0%
78
  Number of IODELAYE1s:                          0 out of     720    0%
79
  Number of MMCM_ADVs:                           0 out of      12    0%
80
  Number of PCIE_2_0s:                           0 out of       2    0%
81
  Number of STARTUPs:                            1 out of       1  100%
82
  Number of SYSMONs:                             0 out of       1    0%
83
  Number of TEMAC_SINGLEs:                       0 out of       1    0%
84
 
85
Average Fanout of Non-Clock Nets:                7.45
86
 
87
Peak Memory Usage:  1019 MB
88
Total REAL time to MAP completion:  3 mins 28 secs
89
Total CPU time to MAP completion:   3 mins 19 secs
90
 
91
Table of Contents
92
-----------------
93
Section 1 - Errors
94
Section 2 - Warnings
95
Section 3 - Informational
96
Section 4 - Removed Logic Summary
97
Section 5 - Removed Logic
98
Section 6 - IOB Properties
99
Section 7 - RPMs
100
Section 8 - Guide Report
101
Section 9 - Area Group and Partition Summary
102
Section 10 - Timing Report
103
Section 11 - Configuration String Information
104
Section 12 - Control Set Information
105
Section 13 - Utilization by Hierarchy
106
 
107
Section 1 - Errors
108
------------------
109
 
110
Section 2 - Warnings
111
--------------------
112
WARNING:Security:42 - Your software subscription period has lapsed. Your current
113
version of Xilinx tools will continue to function, but you no longer qualify for
114
Xilinx software updates or new releases.
115
 
116
Section 3 - Informational
117
-------------------------
118
INFO:Security:56 - Part 'xc6vcx240t' is not a WebPack part.
119
INFO:MapLib:562 - No environment variables are currently set.
120
INFO:LIT:244 - All of the single ended outputs in this design are using slew
121
   rate limited output drivers. The delay on speed critical single ended outputs
122
   can be dramatically reduced by designating them as fast outputs.
123
INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range:
124
   0.000 to 85.000 Celsius)
125
INFO:Pack:1720 - Initializing voltage to 0.950 Volts. (default - Range: 0.950 to
126
   1.050 Volts)
127
INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report
128
   (.mrp).
129
INFO:Pack:1650 - Map created a placed design.
130
 
131
Section 4 - Removed Logic Summary
132
---------------------------------
133
 
134
Section 5 - Removed Logic
135
-------------------------
136
 
137
Section 6 - IOB Properties
138
--------------------------
139
 
140
+---------------------------------------------------------------------------------------------------------------------------------------------------------+
141
| IOB Name                           | Type             | Direction | IO Standard          | Diff  | Drive    | Slew | Reg (s)      | Resistor | IOB      |
142
|                                    |                  |           |                      | Term  | Strength | Rate |              |          | Delay    |
143
+---------------------------------------------------------------------------------------------------------------------------------------------------------+
144
| cipher_key<0>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
145
| cipher_key<1>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
146
| cipher_key<2>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
147
| cipher_key<3>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
148
| cipher_key<4>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
149
| cipher_key<5>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
150
| cipher_key<6>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
151
| cipher_key<7>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
152
| cipher_key<8>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
153
| cipher_key<9>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
154
| cipher_key<10>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
155
| cipher_key<11>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
156
| cipher_key<12>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
157
| cipher_key<13>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
158
| cipher_key<14>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
159
| cipher_key<15>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
160
| cipher_key<16>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
161
| cipher_key<17>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
162
| cipher_key<18>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
163
| cipher_key<19>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
164
| cipher_key<20>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
165
| cipher_key<21>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
166
| cipher_key<22>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
167
| cipher_key<23>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
168
| cipher_key<24>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
169
| cipher_key<25>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
170
| cipher_key<26>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
171
| cipher_key<27>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
172
| cipher_key<28>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
173
| cipher_key<29>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
174
| cipher_key<30>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
175
| cipher_key<31>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
176
| cipher_key<32>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
177
| cipher_key<33>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
178
| cipher_key<34>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
179
| cipher_key<35>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
180
| cipher_key<36>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
181
| cipher_key<37>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
182
| cipher_key<38>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
183
| cipher_key<39>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
184
| cipher_key<40>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
185
| cipher_key<41>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
186
| cipher_key<42>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
187
| cipher_key<43>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
188
| cipher_key<44>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
189
| cipher_key<45>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
190
| cipher_key<46>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
191
| cipher_key<47>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
192
| cipher_key<48>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
193
| cipher_key<49>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
194
| cipher_key<50>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
195
| cipher_key<51>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
196
| cipher_key<52>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
197
| cipher_key<53>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
198
| cipher_key<54>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
199
| cipher_key<55>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
200
| cipher_key<56>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
201
| cipher_key<57>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
202
| cipher_key<58>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
203
| cipher_key<59>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
204
| cipher_key<60>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
205
| cipher_key<61>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
206
| cipher_key<62>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
207
| cipher_key<63>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
208
| cipher_key<64>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
209
| cipher_key<65>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
210
| cipher_key<66>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
211
| cipher_key<67>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
212
| cipher_key<68>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
213
| cipher_key<69>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
214
| cipher_key<70>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
215
| cipher_key<71>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
216
| cipher_key<72>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
217
| cipher_key<73>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
218
| cipher_key<74>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
219
| cipher_key<75>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
220
| cipher_key<76>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
221
| cipher_key<77>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
222
| cipher_key<78>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
223
| cipher_key<79>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
224
| cipher_key<80>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
225
| cipher_key<81>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
226
| cipher_key<82>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
227
| cipher_key<83>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
228
| cipher_key<84>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
229
| cipher_key<85>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
230
| cipher_key<86>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
231
| cipher_key<87>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
232
| cipher_key<88>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
233
| cipher_key<89>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
234
| cipher_key<90>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
235
| cipher_key<91>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
236
| cipher_key<92>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
237
| cipher_key<93>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
238
| cipher_key<94>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
239
| cipher_key<95>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
240
| cipher_key<96>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
241
| cipher_key<97>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
242
| cipher_key<98>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
243
| cipher_key<99>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
244
| cipher_key<100>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
245
| cipher_key<101>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
246
| cipher_key<102>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
247
| cipher_key<103>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
248
| cipher_key<104>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
249
| cipher_key<105>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
250
| cipher_key<106>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
251
| cipher_key<107>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
252
| cipher_key<108>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
253
| cipher_key<109>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
254
| cipher_key<110>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
255
| cipher_key<111>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
256
| cipher_key<112>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
257
| cipher_key<113>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
258
| cipher_key<114>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
259
| cipher_key<115>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
260
| cipher_key<116>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
261
| cipher_key<117>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
262
| cipher_key<118>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
263
| cipher_key<119>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
264
| cipher_key<120>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
265
| cipher_key<121>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
266
| cipher_key<122>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
267
| cipher_key<123>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
268
| cipher_key<124>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
269
| cipher_key<125>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
270
| cipher_key<126>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
271
| cipher_key<127>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
272
| cipher_text<0>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
273
| cipher_text<1>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
274
| cipher_text<2>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
275
| cipher_text<3>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
276
| cipher_text<4>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
277
| cipher_text<5>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
278
| cipher_text<6>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
279
| cipher_text<7>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
280
| cipher_text<8>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
281
| cipher_text<9>                     | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
282
| cipher_text<10>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
283
| cipher_text<11>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
284
| cipher_text<12>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
285
| cipher_text<13>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
286
| cipher_text<14>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
287
| cipher_text<15>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
288
| cipher_text<16>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
289
| cipher_text<17>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
290
| cipher_text<18>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
291
| cipher_text<19>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
292
| cipher_text<20>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
293
| cipher_text<21>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
294
| cipher_text<22>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
295
| cipher_text<23>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
296
| cipher_text<24>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
297
| cipher_text<25>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
298
| cipher_text<26>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
299
| cipher_text<27>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
300
| cipher_text<28>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
301
| cipher_text<29>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
302
| cipher_text<30>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
303
| cipher_text<31>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
304
| cipher_text<32>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
305
| cipher_text<33>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
306
| cipher_text<34>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
307
| cipher_text<35>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
308
| cipher_text<36>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
309
| cipher_text<37>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
310
| cipher_text<38>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
311
| cipher_text<39>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
312
| cipher_text<40>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
313
| cipher_text<41>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
314
| cipher_text<42>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
315
| cipher_text<43>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
316
| cipher_text<44>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
317
| cipher_text<45>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
318
| cipher_text<46>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
319
| cipher_text<47>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
320
| cipher_text<48>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
321
| cipher_text<49>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
322
| cipher_text<50>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
323
| cipher_text<51>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
324
| cipher_text<52>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
325
| cipher_text<53>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
326
| cipher_text<54>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
327
| cipher_text<55>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
328
| cipher_text<56>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
329
| cipher_text<57>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
330
| cipher_text<58>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
331
| cipher_text<59>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
332
| cipher_text<60>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
333
| cipher_text<61>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
334
| cipher_text<62>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
335
| cipher_text<63>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
336
| cipher_text<64>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
337
| cipher_text<65>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
338
| cipher_text<66>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
339
| cipher_text<67>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
340
| cipher_text<68>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
341
| cipher_text<69>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
342
| cipher_text<70>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
343
| cipher_text<71>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
344
| cipher_text<72>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
345
| cipher_text<73>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
346
| cipher_text<74>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
347
| cipher_text<75>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
348
| cipher_text<76>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
349
| cipher_text<77>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
350
| cipher_text<78>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
351
| cipher_text<79>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
352
| cipher_text<80>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
353
| cipher_text<81>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
354
| cipher_text<82>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
355
| cipher_text<83>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
356
| cipher_text<84>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
357
| cipher_text<85>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
358
| cipher_text<86>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
359
| cipher_text<87>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
360
| cipher_text<88>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
361
| cipher_text<89>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
362
| cipher_text<90>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
363
| cipher_text<91>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
364
| cipher_text<92>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
365
| cipher_text<93>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
366
| cipher_text<94>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
367
| cipher_text<95>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
368
| cipher_text<96>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
369
| cipher_text<97>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
370
| cipher_text<98>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
371
| cipher_text<99>                    | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
372
| cipher_text<100>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
373
| cipher_text<101>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
374
| cipher_text<102>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
375
| cipher_text<103>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
376
| cipher_text<104>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
377
| cipher_text<105>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
378
| cipher_text<106>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
379
| cipher_text<107>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
380
| cipher_text<108>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
381
| cipher_text<109>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
382
| cipher_text<110>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
383
| cipher_text<111>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
384
| cipher_text<112>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
385
| cipher_text<113>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
386
| cipher_text<114>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
387
| cipher_text<115>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
388
| cipher_text<116>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
389
| cipher_text<117>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
390
| cipher_text<118>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
391
| cipher_text<119>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
392
| cipher_text<120>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
393
| cipher_text<121>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
394
| cipher_text<122>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
395
| cipher_text<123>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
396
| cipher_text<124>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
397
| cipher_text<125>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
398
| cipher_text<126>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
399
| cipher_text<127>                   | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
400
| cipherkey_valid_in                 | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
401
| clk                                | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
402
| data_valid_in                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
403
| plain_text<0>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
404
| plain_text<1>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
405
| plain_text<2>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
406
| plain_text<3>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
407
| plain_text<4>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
408
| plain_text<5>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
409
| plain_text<6>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
410
| plain_text<7>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
411
| plain_text<8>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
412
| plain_text<9>                      | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
413
| plain_text<10>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
414
| plain_text<11>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
415
| plain_text<12>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
416
| plain_text<13>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
417
| plain_text<14>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
418
| plain_text<15>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
419
| plain_text<16>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
420
| plain_text<17>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
421
| plain_text<18>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
422
| plain_text<19>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
423
| plain_text<20>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
424
| plain_text<21>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
425
| plain_text<22>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
426
| plain_text<23>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
427
| plain_text<24>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
428
| plain_text<25>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
429
| plain_text<26>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
430
| plain_text<27>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
431
| plain_text<28>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
432
| plain_text<29>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
433
| plain_text<30>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
434
| plain_text<31>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
435
| plain_text<32>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
436
| plain_text<33>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
437
| plain_text<34>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
438
| plain_text<35>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
439
| plain_text<36>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
440
| plain_text<37>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
441
| plain_text<38>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
442
| plain_text<39>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
443
| plain_text<40>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
444
| plain_text<41>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
445
| plain_text<42>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
446
| plain_text<43>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
447
| plain_text<44>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
448
| plain_text<45>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
449
| plain_text<46>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
450
| plain_text<47>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
451
| plain_text<48>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
452
| plain_text<49>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
453
| plain_text<50>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
454
| plain_text<51>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
455
| plain_text<52>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
456
| plain_text<53>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
457
| plain_text<54>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
458
| plain_text<55>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
459
| plain_text<56>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
460
| plain_text<57>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
461
| plain_text<58>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
462
| plain_text<59>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
463
| plain_text<60>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
464
| plain_text<61>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
465
| plain_text<62>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
466
| plain_text<63>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
467
| plain_text<64>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
468
| plain_text<65>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
469
| plain_text<66>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
470
| plain_text<67>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
471
| plain_text<68>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
472
| plain_text<69>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
473
| plain_text<70>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
474
| plain_text<71>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
475
| plain_text<72>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
476
| plain_text<73>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
477
| plain_text<74>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
478
| plain_text<75>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
479
| plain_text<76>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
480
| plain_text<77>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
481
| plain_text<78>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
482
| plain_text<79>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
483
| plain_text<80>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
484
| plain_text<81>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
485
| plain_text<82>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
486
| plain_text<83>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
487
| plain_text<84>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
488
| plain_text<85>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
489
| plain_text<86>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
490
| plain_text<87>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
491
| plain_text<88>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
492
| plain_text<89>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
493
| plain_text<90>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
494
| plain_text<91>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
495
| plain_text<92>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
496
| plain_text<93>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
497
| plain_text<94>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
498
| plain_text<95>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
499
| plain_text<96>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
500
| plain_text<97>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
501
| plain_text<98>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
502
| plain_text<99>                     | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
503
| plain_text<100>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
504
| plain_text<101>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
505
| plain_text<102>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
506
| plain_text<103>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
507
| plain_text<104>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
508
| plain_text<105>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
509
| plain_text<106>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
510
| plain_text<107>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
511
| plain_text<108>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
512
| plain_text<109>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
513
| plain_text<110>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
514
| plain_text<111>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
515
| plain_text<112>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
516
| plain_text<113>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
517
| plain_text<114>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
518
| plain_text<115>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
519
| plain_text<116>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
520
| plain_text<117>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
521
| plain_text<118>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
522
| plain_text<119>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
523
| plain_text<120>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
524
| plain_text<121>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
525
| plain_text<122>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
526
| plain_text<123>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
527
| plain_text<124>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
528
| plain_text<125>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
529
| plain_text<126>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
530
| plain_text<127>                    | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
531
| reset                              | IOB              | INPUT     | LVCMOS25             |       |          |      |              |          |          |
532
| valid_out                          | IOB              | OUTPUT    | LVCMOS25             |       | 12       | SLOW |              |          |          |
533
+---------------------------------------------------------------------------------------------------------------------------------------------------------+
534
 
535
Section 7 - RPMs
536
----------------
537
 
538
Section 8 - Guide Report
539
------------------------
540
Guide not run on this design.
541
 
542
Section 9 - Area Group and Partition Summary
543
--------------------------------------------
544
 
545
Partition Implementation Status
546
-------------------------------
547
 
548
  No Partitions were found in this design.
549
 
550
-------------------------------
551
 
552
Area Group Information
553
----------------------
554
 
555
  No area groups were found in this design.
556
 
557
----------------------
558
 
559
Section 10 - Timing Report
560
--------------------------
561
A logic-level (pre-route) timing report can be generated by using Xilinx static
562
timing analysis tools, Timing Analyzer (GUI) or TRCE (command line), with the
563
mapped NCD and PCF files. Please note that this timing report will be generated
564
using estimated delay information. For accurate numbers, please generate a
565
timing report with the post Place and Route NCD file.
566
 
567
For more information about the Timing Analyzer, consult the Xilinx Timing
568
Analyzer Reference Manual; for more information about TRCE, consult the Xilinx
569
Command Line Tools User Guide "TRACE" chapter.
570
 
571
Section 11 - Configuration String Details
572
-----------------------------------------
573
Use the "-detail" map option to print out Configuration Strings
574
 
575
Section 12 - Control Set Information
576
------------------------------------
577
Use the "-detail" map option to print out Control Set Information.
578
 
579
Section 13 - Utilization by Hierarchy
580
-------------------------------------
581
Use the "-detail" map option to print out the Utilization by Hierarchy section.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.