OpenCores
URL https://opencores.org/ocsvn/ahb_master/ahb_master/trunk

Subversion Repositories ahb_master

[/] [ahb_master/] [trunk/] [src/] [base/] [axi2ahb.v] - Blame information for rev 14

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 eyalhoc
<##//////////////////////////////////////////////////////////////////
2 8 eyalhoc
////                                                             ////
3
////  Author: Eyal Hochberg                                      ////
4
////          eyal@provartec.com                                 ////
5
////                                                             ////
6
////  Downloaded from: http://www.opencores.org                  ////
7
/////////////////////////////////////////////////////////////////////
8
////                                                             ////
9
//// Copyright (C) 2010 Provartec LTD                            ////
10
//// www.provartec.com                                           ////
11
//// info@provartec.com                                          ////
12
////                                                             ////
13
//// This source file may be used and distributed without        ////
14
//// restriction provided that this copyright statement is not   ////
15
//// removed from the file and that any derivative work contains ////
16
//// the original copyright notice and the associated disclaimer.////
17
////                                                             ////
18
//// This source file is free software; you can redistribute it  ////
19
//// and/or modify it under the terms of the GNU Lesser General  ////
20
//// Public License as published by the Free Software Foundation.////
21
////                                                             ////
22
//// This source is distributed in the hope that it will be      ////
23
//// useful, but WITHOUT ANY WARRANTY; without even the implied  ////
24
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR     ////
25
//// PURPOSE.  See the GNU Lesser General Public License for more////
26
//// details. http://www.gnu.org/licenses/lgpl.html              ////
27
////                                                             ////
28 14 eyalhoc
//////////////////////////////////////////////////////////////////##>
29 10 eyalhoc
 
30
INCLUDE def_axi2ahb.txt
31
OUTFILE PREFIX.v
32
 
33
CHECK CONST(#FFD)
34
CHECK CONST(PREFIX)
35
CHECK CONST(ADDR_BITS)
36
CHECK CONST(DATA_BITS)
37
CHECK CONST(ID_BITS)
38
CHECK CONST(CMD_DEPTH)
39
 
40
module  PREFIX (PORTS);
41
 
42
   input              clk;
43
   input              reset;
44
 
45
   port               GROUP_AXI;
46
 
47
   revport            GROUP_AHB;
48
 
49
 
50
   //outputs of cmd
51
   wire                   cmd_empty;
52
   wire                   cmd_read;
53
   wire [ID_BITS-1:0]     cmd_id;
54
   wire [ADDR_BITS-1:0]   cmd_addr;
55
   wire [3:0]             cmd_len;
56
   wire [1:0]             cmd_size;
57
   wire                   cmd_err;
58
 
59
   //outputs of ctrl
60
   wire                   ahb_finish;
61
   wire                   data_last;
62
 
63
   //outputs of wr fifo
64
   wire                   wdata_phase;
65
   wire                   wdata_ready;
66
 
67
   //outputs of rd fifo
68
   wire                   rdata_phase;
69
   wire                   rdata_ready;
70
 
71
 
72
 
73
   CREATE axi2ahb_cmd.v
74
     PREFIX_cmd PREFIX_cmd(
75
                                           .clk(clk),
76
                                           .reset(reset),
77
                                           .AWGROUP_AXI_A(AWGROUP_AXI_A),
78
                                           .ARGROUP_AXI_A(ARGROUP_AXI_A),
79
                                           .GROUP_AHB(GROUP_AHB),
80
                                           .ahb_finish(ahb_finish),
81
                                           .cmd_empty(cmd_empty),
82
                                           .cmd_read(cmd_read),
83
                                           .cmd_id(cmd_id),
84
                                           .cmd_addr(cmd_addr),
85
                                           .cmd_len(cmd_len),
86
                                           .cmd_size(cmd_size),
87
                                           .cmd_err(cmd_err)
88
                                           );
89
 
90
 
91
 
92
   CREATE axi2ahb_ctrl.v
93
     PREFIX_ctrl PREFIX_ctrl(
94
                                             .clk(clk),
95
                                             .reset(reset),
96
                                             .GROUP_AHB(GROUP_AHB),
97
                                             .ahb_finish(ahb_finish),
98
                                             .rdata_phase(rdata_phase),
99
                                             .wdata_phase(wdata_phase),
100
                                             .data_last(data_last),
101
                                             .rdata_ready(rdata_ready),
102
                                             .wdata_ready(wdata_ready),
103
                                             .cmd_empty(cmd_empty),
104
                                             .cmd_read(cmd_read),
105
                                             .cmd_addr(cmd_addr),
106
                                             .cmd_len(cmd_len),
107
                                             .cmd_size(cmd_size)
108
                                             );
109
 
110
 
111
   CREATE axi2ahb_wr_fifo.v
112
     PREFIX_wr_fifo
113
       PREFIX_wr_fifo(
114
                              .clk(clk),
115
                              .reset(reset),
116
                              .WGROUP_AXI_W(WGROUP_AXI_W),
117
                              .BGROUP_AXI_B(BGROUP_AXI_B),
118
                              .HWDATA(HWDATA),
119
                              .HREADY(HREADY),
120
                              .HTRANS(HTRANS),
121
                              .HRESP(HRESP),
122
                              .cmd_err(cmd_err),
123
                              .wdata_phase(wdata_phase),
124
                              .wdata_ready(wdata_ready),
125
                              .data_last(data_last)
126
                              );
127
 
128
 
129
   CREATE axi2ahb_rd_fifo.v
130
     PREFIX_rd_fifo
131
       PREFIX_rd_fifo(
132
                              .clk(clk),
133
                              .reset(reset),
134
                              .RGROUP_AXI_R(RGROUP_AXI_R),
135
                              .HRDATA(HRDATA),
136
                              .HREADY(HREADY),
137
                              .HTRANS(HTRANS),
138
                              .HRESP(HRESP),
139
                              .cmd_id(cmd_id),
140
                              .cmd_err(cmd_err),
141
                              .rdata_phase(rdata_phase),
142
                              .rdata_ready(rdata_ready),
143
                              .data_last(data_last)
144
                              );
145
 
146
 
147
endmodule
148
 
149
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.