OpenCores
URL https://opencores.org/ocsvn/ahbmaster/ahbmaster/trunk

Subversion Repositories ahbmaster

[/] [ahbmaster/] [trunk/] [test79_AHBmaster/] [component/] [Actel/] [DirectCore/] [CoreAHBLite/] [5.3.101/] [rtl/] [vhdl/] [amba_bfm/] [bfm_ahbslave.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 uson
-- Actel Corporation Proprietary and Confidential
2
-- Copyright 2008 Actel Corporation.  All rights reserved.
3
-- ANY USE OR REDISTRIBUTION IN PART OR IN WHOLE MUST BE HANDLED IN 
4
-- ACCORDANCE WITH THE ACTEL LICENSE AGREEMENT AND MUST BE APPROVED 
5
-- IN ADVANCE IN WRITING.  
6
-- Revision Information:
7
-- SVN Revision Information:
8
-- SVN $Revision: 22340 $
9
-- SVN $Date: 2014-04-11 21:59:35 +0530 (Fri, 11 Apr 2014) $
10
library IEEe;
11
use Ieee.stD_Logic_1164.all;
12
use IEEe.numERIc_stD.all;
13
use worK.bfm_Misc.all;
14
use woRK.bfM_textIO.all;
15
use woRK.bFM_pacKAGe.all;
16
use Std.TExtio.all;
17
entity BFM_ahbSLAve is
18
generic (awIDTh: INTeger range 1 to 32;
19
dePTH: intEGEr := 256;
20
inITFIle: STring := "";
21
ID: INtegeR := 0;
22
enFUNc: inTEGer := 0;
23
tpd: IntegER range 0 to 1000 := 1;
24
deBUG: intEGEr range -1 to 5 := -1); port (hCLK: in STD_loGIC;
25
HreseTN: in std_Logic;
26
hsEL: in Std_lOGIc;
27
HwritE: in Std_lOGIc;
28
HADdr: in STD_logIC_vecTOr(awIDTh-1 downto 0);
29
hwDATa: in sTD_logIC_vecTOr(31 downto 0);
30
HRData: out Std_lOGIc_veCTor(31 downto 0);
31
hrEADyin: in stD_logiC;
32
HREadyoUT: out stD_LogiC;
33
htRANs: in Std_lOGIc_vECTor(1 downto 0);
34
hsizE: in STD_loGIC_vecTOr(2 downto 0);
35
HburST: in Std_lOGIc_veCTor(2 downto 0);
36
hMAStlocK: in STD_logIC;
37
hpROT: in Std_LOGIc_vECTor(3 downto 0);
38
HresP: out std_Logic);
39
end BFM_ahbSLAve;
40
 
41
architecture BFMA1Io1ol of bFM_ahbsLAVe is
42
 
43
signal EXt_en: std_LOgic;
44
 
45
signal EXT_wr: sTD_logIC;
46
 
47
signal Ext_rD: STd_loGIC;
48
 
49
signal Ext_aDDR: Std_lOGIc_vECTor(aWIDth-1 downto 0);
50
 
51
signal ext_DATa: Std_LOGIc_vECTor(31 downto 0);
52
 
53
begin
54
ext_EN <= '0';
55
exT_Wr <= '0';
56
Ext_rD <= '0';
57
EXT_adDR <= ( others => '0');
58
ext_DAta <= ( others => 'Z');
59
BFMA1Oiill: BFM_ahbSLAveeXT
60
generic map (AWidth => AWIdth,
61
DEpth => depTH,
62
eXT_sizE => 2,
63
INitfiLE => InitfILE,
64
Id => ID,
65
eNFUnc => enFUNc,
66
EnfiFO => 0,
67
tpd => Tpd,
68
Debug => debUG)
69
port map (hclk => hcLK,
70
hRESetn => hrESEtn,
71
HSel => hsel,
72
HWrite => HWrite,
73
HADdr => HAddr,
74
HWdata => HwdatA,
75
hrdATA => hRDAta,
76
HreadYIN => hREAdyiN,
77
HREadyoUT => hreaDYOut,
78
htrANS => hTRAns,
79
hSIZe => hsIZE,
80
hBURst => hbURSt,
81
hmaSTLock => HmasTLOCk,
82
Hprot => hproT,
83
hRESp => hrESP,
84
EXT_en => ext_EN,
85
ext_WR => Ext_WR,
86
Ext_rD => ext_RD,
87
EXT_addR => ext_ADDr,
88
Ext_DATA => Ext_dATA,
89
TXReadY => open ,
90
RXReady => open );
91
end BFMA1io1OL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.