OpenCores
URL https://opencores.org/ocsvn/ahbmaster/ahbmaster/trunk

Subversion Repositories ahbmaster

[/] [ahbmaster/] [trunk/] [test79_AHBmaster/] [component/] [work/] [top/] [CoreUARTapb_0/] [rtl/] [vhdl/] [core/] [fifo_256x8_pa3.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 uson
-- ********************************************************************
2
-- Actel Corporation Proprietary and Confidential
3
--  Copyright 2008 Actel Corporation.  All rights reserved.
4
--
5
-- ANY USE OR REDISTRIBUTION IN PART OR IN WHOLE MUST BE HANDLED IN
6
-- ACCORDANCE WITH THE ACTEL LICENSE AGREEMENT AND MUST BE APPROVED
7
-- IN ADVANCE IN WRITING.
8
--
9
-- Description: CoreUART/ CoreUARTapb UART core
10
--
11
--
12
--  Revision Information:
13
-- Date     Description
14
-- Jun09    Revision 4.1
15
-- Aug10    Revision 4.2
16
 
17
-- SVN Revision Information:
18
-- SVN $Revision: 8508 $
19
-- SVN $Date: 2009-06-15 16:49:49 -0700 (Mon, 15 Jun 2009) $
20
--
21
-- Resolved SARs
22
-- SAR      Date     Who   Description
23
-- 20741    2Sep10   AS    Increased baud rate by ensuring fifo ctrl runs off
24
--                         sys clk (not baud clock).  See note below.
25
 
26
-- Notes:
27
-- best viewed with tabstops set to "4"
28
library ieee;
29
use ieee.std_logic_1164.all;
30
library proasic3;
31
 
32
ENTITY top_CoreUARTapb_0_fifo_256x8 IS
33
   GENERIC(SYNC_RESET: INTEGER := 0);
34
   PORT (
35
      DO                      : OUT std_logic_vector(7 DOWNTO 0);
36
      RCLOCK                  : IN std_logic;
37
      WCLOCK                  : IN std_logic;
38
      DI                      : IN std_logic_vector(7 DOWNTO 0);
39
      WRB                     : IN std_logic;
40
      RDB                     : IN std_logic;
41
      RESET                   : IN std_logic;
42
      FULL                    : OUT std_logic;
43
      EMPTY                   : OUT std_logic);
44
END ENTITY top_CoreUARTapb_0_fifo_256x8;
45
 
46
ARCHITECTURE translated OF top_CoreUARTapb_0_fifo_256x8 IS
47
 
48
COMPONENT top_CoreUARTapb_0_fifo_256x8_pa3
49
   PORT (
50
      DATA                    : IN std_logic_vector(7 DOWNTO 0);
51
      Q                       : OUT std_logic_vector(7 DOWNTO 0);
52
      WE                      : IN std_logic;
53
      RE                      : IN std_logic;
54
      WCLOCK                  : IN std_logic;
55
      RCLOCK                  : IN std_logic;
56
      FULL                    : OUT std_logic;
57
      EMPTY                   : OUT std_logic;
58
      RESET                   : IN std_logic;
59
      AEMPTY                  : OUT std_logic;
60
      AFULL                   : OUT std_logic;
61
      LEVEL                   : IN std_logic_vector(7 DOWNTO 0));
62
END COMPONENT;
63
 
64
   CONSTANT  LEVEL                 :  std_logic_vector(7 DOWNTO 0) := "11111111";
65
   SIGNAL AEMPTY                   :  std_logic;
66
   SIGNAL AFULL                    :  std_logic;
67
   SIGNAL DO_0                     :  std_logic_vector(7 DOWNTO 0);
68
   SIGNAL DO_xhdl1                 :  std_logic_vector(7 DOWNTO 0);
69
   SIGNAL FULL_xhdl2               :  std_logic;
70
   SIGNAL EMPTY_xhdl3              :  std_logic;
71
   SIGNAL EQTH_xhdl4               :  std_logic;
72
   SIGNAL GEQTH_xhdl5              :  std_logic;
73
 
74
BEGIN
75
   DO <= DO_xhdl1;
76
   FULL <= FULL_xhdl2;
77
   EMPTY <= EMPTY_xhdl3;
78
 
79
   PROCESS (RCLOCK)
80
   BEGIN
81
      IF (RCLOCK'EVENT AND RCLOCK = '1') THEN
82
         DO_xhdl1 <= DO_0;
83
      END IF;
84
   END PROCESS;
85
 
86
   top_CoreUARTapb_0_fifo_256x8_pa3_xhdl14 : top_CoreUARTapb_0_fifo_256x8_pa3
87
      PORT MAP (
88
         DATA => DI,
89
         Q => DO_0,
90
         WE => WRB,
91
         RE => RDB,
92
         WCLOCK => WCLOCK,
93
         RCLOCK => RCLOCK,
94
         AEMPTY => AEMPTY,
95
         AFULL => GEQTH_xhdl5,
96
         FULL => FULL_xhdl2,
97
         EMPTY => EMPTY_xhdl3,
98
         RESET => RESET,
99
         LEVEL => LEVEL);
100
 
101
 
102
END ARCHITECTURE translated;
103
 
104
library ieee;
105
use ieee.std_logic_1164.all;
106
library proasic3;
107
 
108
ENTITY top_CoreUARTapb_0_fifo_256x8_pa3 IS
109
   PORT (
110
      DATA                    : IN std_logic_vector(7 DOWNTO 0);
111
      Q                       : OUT std_logic_vector(7 DOWNTO 0);
112
      WE                      : IN std_logic;
113
      RE                      : IN std_logic;
114
      WCLOCK                  : IN std_logic;
115
      RCLOCK                  : IN std_logic;
116
      FULL                    : OUT std_logic;
117
      EMPTY                   : OUT std_logic;
118
      RESET                   : IN std_logic;
119
      AEMPTY                  : OUT std_logic;
120
      AFULL                   : OUT std_logic;
121
      LEVEL                   : IN std_logic_vector(7 DOWNTO 0));
122
END ENTITY top_CoreUARTapb_0_fifo_256x8_pa3;
123
 
124
ARCHITECTURE translated OF top_CoreUARTapb_0_fifo_256x8_pa3 IS
125
 
126
    component INV
127
        port(A : in std_logic := 'U'; Y : out std_logic) ;
128
    end component;
129
 
130
    component FIFO4K18
131
        port(AEVAL11, AEVAL10, AEVAL9, AEVAL8, AEVAL7, AEVAL6,
132
        AEVAL5, AEVAL4, AEVAL3, AEVAL2, AEVAL1, AEVAL0, AFVAL11,
133
        AFVAL10, AFVAL9, AFVAL8, AFVAL7, AFVAL6, AFVAL5, AFVAL4,
134
        AFVAL3, AFVAL2, AFVAL1, AFVAL0, WD17, WD16, WD15, WD14,
135
        WD13, WD12, WD11, WD10, WD9, WD8, WD7, WD6, WD5, WD4, WD3,
136
        WD2, WD1, WD0, WW0, WW1, WW2, RW0, RW1, RW2, RPIPE, WEN,
137
        REN, WBLK, RBLK, WCLK, RCLK, RESET, ESTOP, FSTOP : in
138
        std_logic := 'U'; RD17, RD16, RD15, RD14, RD13, RD12,
139
        RD11, RD10, RD9, RD8, RD7, RD6, RD5, RD4, RD3, RD2, RD1,
140
        RD0, FULL, AFULL, EMPTY, AEMPTY : out std_logic) ;
141
    end component;
142
 
143
    component VCC
144
        port( Y : out std_logic);
145
    end component;
146
 
147
    component GND
148
        port( Y : out std_logic);
149
    end component;
150
 
151
   SIGNAL WEAP                     :  std_logic;
152
   SIGNAL VCC_0                      :  std_logic;
153
   SIGNAL GND_0                      :  std_logic;
154
   SIGNAL Q_xhdl1                  :  std_logic_vector(7 DOWNTO 0);
155
   SIGNAL FULL_xhdl2               :  std_logic;
156
   SIGNAL EMPTY_xhdl3              :  std_logic;
157
   SIGNAL AEMPTY_xhdl4             :  std_logic;
158
   SIGNAL AFULL_xhdl5              :  std_logic;
159
 
160
BEGIN
161
   Q <= Q_xhdl1;
162
   FULL <= FULL_xhdl2;
163
   EMPTY <= EMPTY_xhdl3;
164
   AEMPTY <= AEMPTY_xhdl4;
165
   AFULL <= AFULL_xhdl5;
166
 
167
   VCC_1_net : VCC PORT MAP ( Y => VCC_0);
168
 
169
   GND_1_net : GND PORT MAP ( Y => GND_0);
170
 
171
   REBUBBLEA : INV PORT MAP ( A => RE, Y => WEAP);
172
 
173
   FIFOBLOCK0 : FIFO4K18
174
      PORT MAP (
175
         AEVAL11 => GND_0,
176
         AEVAL10 => GND_0,
177
         AEVAL9 => GND_0,
178
         AEVAL8 => GND_0,
179
         AEVAL7 => GND_0,
180
         AEVAL6 => GND_0,
181
         AEVAL5 => GND_0,
182
         AEVAL4 => GND_0,
183
         AEVAL3 => VCC_0,
184
         AEVAL2 => GND_0,
185
         AEVAL1 => GND_0,
186
         AEVAL0 => GND_0,
187
         AFVAL11 => GND_0,
188
         AFVAL10 => LEVEL(7),
189
         AFVAL9 =>  LEVEL(6),
190
         AFVAL8 => LEVEL(5),
191
         AFVAL7 => LEVEL(4),
192
         AFVAL6 =>  LEVEL(3),
193
         AFVAL5 => LEVEL(2),
194
         AFVAL4 => LEVEL(1),
195
         AFVAL3 =>  LEVEL(0),
196
         AFVAL2 => GND_0,
197
         AFVAL1 => GND_0,
198
         AFVAL0 => GND_0,
199
         WD17 => GND_0,
200
         WD16 => GND_0,
201
         WD15 => GND_0,
202
         WD14 => GND_0,
203
         WD13 => GND_0,
204
         WD12 => GND_0,
205
         WD11 => GND_0,
206
         WD10 => GND_0,
207
         WD9 => GND_0,
208
         WD8 => GND_0,
209
         WD7 => DATA(7),
210
         WD6 => DATA(6),
211
         WD5 => DATA(5),
212
         WD4 => DATA(4),
213
         WD3 => DATA(3),
214
         WD2 => DATA(2),
215
         WD1 => DATA(1),
216
         WD0 => DATA(0),
217
         WW0 => VCC_0,
218
         WW1 => VCC_0,
219
         WW2 => GND_0,
220
         RW0 => VCC_0,
221
         RW1 => VCC_0,
222
         RW2 => GND_0,
223
         RPIPE => GND_0,
224
         WEN => WE,
225
         REN => WEAP,
226
         WBLK => GND_0,
227
         RBLK => GND_0,
228
         WCLK => WCLOCK,
229
         RCLK => RCLOCK,
230
         RESET => RESET,
231
         ESTOP => VCC_0,
232
         FSTOP => VCC_0,
233
         RD17 => open,
234
         RD16 => open,
235
         RD15 => open,
236
         RD14 => open,
237
         RD13 => open,
238
         RD12 => open,
239
         RD11 => open,
240
         RD10 => open,
241
         RD9 => open,
242
         RD8 => open,
243
         RD7 => Q_xhdl1(7),
244
         RD6 => Q_xhdl1(6),
245
         RD5 => Q_xhdl1(5),
246
         RD4 => Q_xhdl1(4),
247
         RD3 => Q_xhdl1(3),
248
         RD2 => Q_xhdl1(2),
249
         RD1 => Q_xhdl1(1),
250
         RD0 => Q_xhdl1(0),
251
         FULL => open,
252
         AFULL => FULL_xhdl2,
253
         EMPTY => EMPTY_xhdl3,
254
         AEMPTY => AEMPTY_xhdl4);
255
 
256
 
257
END ARCHITECTURE translated;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.