OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] [trunk/] [gcc-x64/] [or1knd-elf/] [or1knd-elf/] [include/] [c++/] [4.8.0/] [bits/] [c++0x_warning.h] - Blame information for rev 35

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 35 ultra_embe
// Copyright (C) 2007, 2009, 2010 Free Software Foundation, Inc.
2
//
3
// This file is part of the GNU ISO C++ Library.  This library is free
4
// software; you can redistribute it and/or modify it under the
5
// terms of the GNU General Public License as published by the
6
// Free Software Foundation; either version 3, or (at your option)
7
// any later version.
8
 
9
// This library is distributed in the hope that it will be useful,
10
// but WITHOUT ANY WARRANTY; without even the implied warranty of
11
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
// GNU General Public License for more details.
13
 
14
// Under Section 7 of GPL version 3, you are granted additional
15
// permissions described in the GCC Runtime Library Exception, version
16
// 3.1, as published by the Free Software Foundation.
17
 
18
// You should have received a copy of the GNU General Public License and
19
// a copy of the GCC Runtime Library Exception along with this program;
20
// see the files COPYING3 and COPYING.RUNTIME respectively.  If not, see
21
// <http://www.gnu.org/licenses/>.
22
 
23
/** @file bits/c++0x_warning.h
24
 *  This is an internal header file, included by other library headers.
25
 *  Do not attempt to use it directly. @headername{iosfwd}
26
 */
27
 
28
#ifndef _CXX0X_WARNING_H
29
#define _CXX0X_WARNING_H 1
30
 
31
#if __cplusplus < 201103L
32
#error This file requires compiler and library support for the \
33
ISO C++ 2011 standard. This support is currently experimental, and must be \
34
enabled with the -std=c++11 or -std=gnu++11 compiler options.
35
#endif
36
 
37
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.