OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] [trunk/] [makefile] - Blame information for rev 44

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 ultra_embe
###############################################################################
2
# Rules
3
###############################################################################
4
all:
5
        make -C or1k-sim
6
        ./or1k-sim/or1knd-sim -f rtl/sim/test_image.bin
7
        make -C rtl/sim
8 38 ultra_embe
        make -C rtl/sim_icarus
9 29 ultra_embe
 
10
clean:
11
        make -C or1k-sim clean
12 38 ultra_embe
        make -C rtl/sim clean
13
        make -C rtl/sim_icarus clean

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.