OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_topolgy/] [common/] [custom_noc_top.sv] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 alirezamon
`include "pronoc_def.v"
2 48 alirezamon
 
3
module   custom_noc_top
4
        import pronoc_pkg::*;
5
        (
6
 
7
    reset,
8
    clk,
9
    chan_in_all,
10 54 alirezamon
    chan_out_all,
11
    router_event
12 48 alirezamon
);
13
 
14
 
15
        input   clk,reset;
16
        //local ports
17
        input   smartflit_chanel_t chan_in_all  [NE-1 : 0];
18
        output  smartflit_chanel_t chan_out_all [NE-1 : 0];
19
 
20 54 alirezamon
        //Events
21
        output  router_event_t  router_event [NR-1 : 0][MAX_P-1 : 0];
22 48 alirezamon
 
23
 
24
    generate
25
 
26 54 alirezamon
 
27 48 alirezamon
 
28 54 alirezamon
 
29
 
30
 
31
 
32
 
33
 
34 48 alirezamon
        //do not modify this line ===custom1===
35
    if(TOPOLOGY == "custom1" ) begin : Tcustom1
36
 
37
                custom1_noc_genvar the_noc
38
                (
39
                    .reset(reset),
40
                    .clk(clk),
41
                    .chan_in_all(chan_in_all),
42 54 alirezamon
                    .chan_out_all(chan_out_all),
43
                    .router_event(router_event)
44 48 alirezamon
                );
45 54 alirezamon
    end
46 48 alirezamon
 
47
    endgenerate
48
 
49 54 alirezamon
 
50
 
51
 
52
 
53
 
54
 
55
 
56
 
57
 
58
 
59
 
60
 
61 48 alirezamon
 
62
 
63
 
64
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.