OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_verilator/] [topology/] [mesh.h] - Blame information for rev 48

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 48 alirezamon
#ifndef MESH_H
2
        #define MESH_H
3
 
4
        #define  LOCAL          0
5
        #define  EAST       1 
6
        #define  NORTH      2  
7
        #define  WEST       3  
8
        #define  SOUTH      4
9
 
10
        //ring line            
11
        #define  FORWARD    1
12
        #define  BACKWARD   2
13
        #define router_id(x,y)  ((y * T1) +    x)
14
        #define endp_id(x,y,l)  ((y * T1) +    x) * T3 + l 
15
 
16
 
17
 
18
        unsigned int nxw=0;
19
        unsigned int nyw=0;
20
        unsigned int maskx=0;
21
        unsigned int masky=0;
22
 
23
 
24
 
25
        void mesh_tori_addrencod_sep(unsigned int id, unsigned int *x, unsigned int *y, unsigned int *l){
26
                (*l)=id%T3; // id%NL
27
                (*x)=(id/T3)%T1;// (id/NL)%NX
28
                (*y)=(id/T3)/T1;// (id/NL)/NX
29
        }
30
 
31
 
32
        void mesh_tori_addr_sep(unsigned int code, unsigned int *x, unsigned int *y, unsigned int *l){
33
                (*x) = code &  maskx;
34
                code>>=nxw;
35
                (*y) = code &  masky;
36
                code>>=nyw;
37
                (*l) = code;
38
        }
39
 
40
 
41
 
42
        unsigned int mesh_tori_addr_join(unsigned int x, unsigned int y, unsigned int l){
43
 
44
                unsigned int addrencode=0;
45
                addrencode =(T3==1)?   (y<<nxw | x) : (l<<(nxw+nyw)|  (y<<nxw) | x);
46
                return addrencode;
47
        }
48
 
49
        unsigned int mesh_tori_addrencode (unsigned int id){
50
                unsigned int y, x, l;
51
                mesh_tori_addrencod_sep(id,&x,&y,&l);
52
                return mesh_tori_addr_join(x,y,l);
53
        }
54
 
55
 
56
        void fmesh_addrencod_sep(unsigned int id, unsigned int *x, unsigned int *y, unsigned int *p){
57
                unsigned int  l, diff,mul,addrencode;
58
                mul  = T1*T2*T3;
59
                if(id < mul) {
60
                        *y = ((id/T3) / T1 );
61
                        *x = ((id/T3) % T1 );
62
                        l = (id % T3);
63
                        *p = (l==0)? LOCAL : 4+l;
64
                }else{
65
                        diff = id -  mul ;
66
                        if( diff <  T1) { //top mesh edge
67
                                        *y = 0;
68
                                        *x = diff;
69
                                        *p = NORTH;
70
                        } else if  ( diff < 2* T1) { //bottom mesh edge
71
                                        *y = T2-1;
72
                                        *x = diff-T1;
73
                                        *p = SOUTH;
74
                        } else if  ( diff < (2* T1) + T2 ) { //left mesh edge
75
                                        *y = diff - (2* T1);
76
                                        *x = 0;
77
                                        *p = WEST;
78
                        } else { //right mesh edge
79
                                        *y = diff - (2* T1) -T2;
80
                                        *x = T1-1;
81
                                        *p = EAST;
82
                        }
83
                }
84
 
85
        }
86
 
87
 
88
        unsigned int fmesh_addrencode(unsigned int id){
89
        //input integer in,nx,nxw,nl,nyw,ny;
90
                unsigned int  y, x, p, addrencode;
91
                fmesh_addrencod_sep(id, &x, &y, &p);
92
                addrencode = ( p<<(nxw+nyw) | (y<<nxw) | x);
93
                return addrencode;
94
        }
95
 
96
 
97
        unsigned int fmesh_endp_addr_decoder (unsigned int code){
98
                unsigned int x, y, p;
99
                mesh_tori_addr_sep(code,&x,&y,&p);
100
                if(p== LOCAL)   return ((y*T1)+x)*T3;
101
                if(p > SOUTH)   return ((y*T1)+x)*T3+(p-SOUTH);
102
                if(p== NORTH)   return ((T1*T2*T3) + x);
103
                if(p== SOUTH)   return ((T1*T2*T3) + T1 + x);
104
                if(p== WEST )   return ((T1*T2*T3) + 2*T1 + y);
105
                if(p== EAST )   return ((T1*T2*T3) + 2*T1 + T2 + y);
106
                return 0;//should not reach here
107
        }
108
 
109
 
110
 
111
 
112
 
113
 
114
        unsigned int mesh_tori_endp_addr_decoder (unsigned int code){
115
                unsigned int x, y, l;
116
                mesh_tori_addr_sep(code,&x,&y,&l);
117
                //if(code==0x1a) printf("code=%x,x=%u,y=%u,l=%u\n",code,x,y,l);
118
                return ((y*T1)+x)*T3+l;
119
        }
120
 
121
 
122
        unsigned int endp_addr_encoder ( unsigned int id){
123
                        #if defined (IS_MESH) || defined (IS_TORUS) || defined (IS_LINE) || defined (IS_RING )
124
                                return mesh_tori_addrencode(id);
125
                        #endif
126
                        return fmesh_addrencode(id);
127
        }
128
 
129
 
130
        unsigned int endp_addr_decoder (unsigned int code){
131
                #if defined (IS_MESH) || defined (IS_TORUS) || defined (IS_LINE) || defined (IS_RING )
132
                        return mesh_tori_endp_addr_decoder (code);
133
                #endif
134
                return fmesh_endp_addr_decoder (code);
135
        }
136
 
137
 
138
 
139
 
140
void topology_connect_all_nodes (void){
141
 
142
 
143
        unsigned int  x,y,l;
144
        #if defined (IS_LINE) || defined (IS_RING ) 
145
                        #define R2R_CHANELS_MESH_TORI   2 
146
                        for  (x=0;   x<T1; x=x+1) {
147
 
148
                                router1[x]->current_r_addr = x;
149
                                if(x    <   T1-1){// not_last_node 
150
                                        //assign  router_chan_in[x][FORWARD] = router_chan_out [(x+1)][BACKWARD];
151
                                        conect_r2r(1,x,FORWARD,1,(x+1),BACKWARD);
152
 
153
                                } else { //last_node
154
 
155
                                        #if defined (IS_LINE) // : line_last_x
156
                                                //assign  router_chan_in[x][FORWARD]= {SMARTFLIT_CHANEL_w{1'b0}};
157
                                                connect_r2gnd(1,x,FORWARD);
158
                                        #else // : ring_last_x
159
                                                //assign router_chan_in[x][FORWARD]= router_chan_out [0][BACKWARD];
160
                                                conect_r2r(1,x,FORWARD,1,0,BACKWARD);
161
                                        #endif
162
                                }
163
 
164
                                if(x>0){// :not_first_x
165
                                        //assign router_chan_in[x][BACKWARD]= router_chan_out [(x-1)][FORWARD];
166
                                        conect_r2r(1,x,BACKWARD,1,(x-1),FORWARD);
167
 
168
                                }else {// :first_x
169
                                        #if defined (IS_LINE) // : line_first_x
170
                                                //assign  router_chan_in[x][BACKWARD]={SMARTFLIT_CHANEL_w{1'b0}};                                       
171
                                                connect_r2gnd(1,x,BACKWARD);
172
                                        #else // : ring_first_x
173
                                                //assign  router_chan_in[x][BACKWARD]= router_chan_out [(NX-1)][FORWARD];                                                                                       
174
                                                conect_r2r(1,x,BACKWARD,1,(T1-1),FORWARD);
175
                                        #endif
176
                                }
177
 
178
                                // connect other local ports
179
                                for  (l=0;   l<T3; l=l+1) {// :locals
180
                                        unsigned int ENDPID = endp_id(x,0,l);
181
                                        unsigned int LOCALP = (l==0) ? l : l + R2R_CHANELS_MESH_TORI; // first local port is connected to router port 0. The rest are connected at the } 
182
                                        //assign router_chan_in[x][LOCALP]= chan_in_all [ENDPID];
183
                                        //assign chan_out_all [ENDPID] = router_chan_out[x][LOCALP];
184
                                        connect_r2e(1,x,LOCALP,ENDPID);
185
                                        er_addr [ENDPID] = x;
186
 
187
                                }// locals               
188
                        }//x    
189
 
190
                #else // :mesh_torus
191
                        #define R2R_CHANELS_MESH_TORI   4 
192
                        for (y=0;    y<T2;    y=y+1) {//: y_loop
193
                                for (x=0;    x<T1; x=x+1) {// :x_loop
194
                                unsigned int R_ADDR = (y<<nxw) + x;
195
                                unsigned int ROUTER_NUM = (y * T1) +    x;
196
                                //assign current_r_addr [ROUTER_NUM] = R_ADDR[RAw-1 :0];
197
                router1[ROUTER_NUM]->current_r_addr = R_ADDR;
198
 
199
 
200
                                if(x    <    T1-1) {//: not_last_x
201
                                        //assign router_chan_in[`router_id(x,y)][EAST]= router_chan_out [`router_id(x+1,y)][WEST];
202
                                        conect_r2r(1,router_id(x,y),EAST,1,router_id(x+1,y),WEST);
203
 
204
                                }else {// :last_x
205
                                        #if defined (IS_MESH) // :last_x_mesh
206
                                                //      assign router_chan_in[`router_id(x,y)][EAST] = {SMARTFLIT_CHANEL_w{1'b0}};                                      
207
                                                connect_r2gnd(1,router_id(x,y),EAST);
208
                                        #elif defined (IS_TORUS) // : last_x_torus
209
                                                //assign router_chan_in[`router_id(x,y)][EAST] = router_chan_out [`router_id(0,y)][WEST];
210
                                                conect_r2r(1,router_id(x,y),EAST,1,router_id(0,y),WEST);
211
                                        #elif defined (IS_FMESH) //:last_x_fmesh
212
                                                //connect to endp
213
                                                unsigned int  EAST_ID = T1*T2*T3 + 2*T1 + T2 + y;
214
                                                connect_r2e(1,router_id(x,y),EAST,EAST_ID);
215
                                                er_addr [EAST_ID] = R_ADDR;
216
                                        #endif//topology
217
                                }
218
 
219
 
220
                                if(y>0) {// : not_first_y
221
                                        //assign router_chan_in[`router_id(x,y)][NORTH] =  router_chan_out [`router_id(x,(y-1))][SOUTH];                                        
222
                                        conect_r2r(1,router_id(x,y),NORTH,1,router_id(x,(y-1)),SOUTH);
223
                                }else {// :first_y
224
                                        #if defined (IS_MESH) // : first_y_mesh
225
                                                //assign router_chan_in[`router_id(x,y)][NORTH] =  {SMARTFLIT_CHANEL_w{1'b0}};                                                                                          
226
                                                connect_r2gnd(1,router_id(x,y),NORTH);
227
                                        #elif defined (IS_TORUS)// :first_y_torus
228
                                                //assign router_chan_in[`router_id(x,y)][NORTH] =  router_chan_out [`router_id(x,(T2-1))][SOUTH];
229
                                                conect_r2r(1,router_id(x,y),NORTH,1,router_id(x,(T2-1)),SOUTH);
230
                                        #elif defined (IS_FMESH) // :first_y_fmesh
231
                                                unsigned int NORTH_ID = T1*T2*T3 + x;
232
                                                connect_r2e(1,router_id(x,y),NORTH,NORTH_ID);
233
                                                er_addr [NORTH_ID] = R_ADDR;
234
                                        #endif//topology
235
                                }//y>0
236
 
237
 
238
                                if(x>0){// :not_first_x
239
                                        //assign    router_chan_in[`router_id(x,y)][WEST] =  router_chan_out [`router_id((x-1),y)][EAST];                                       
240
                                        conect_r2r(1,router_id(x,y),WEST,1,router_id((x-1),y),EAST);
241
                                }else {// :first_x
242
 
243
                                        #if defined (IS_MESH) // :first_x_mesh
244
                                                //assign    router_chan_in[`router_id(x,y)][WEST] =   {SMARTFLIT_CHANEL_w{1'b0}};
245
                                                connect_r2gnd(1,router_id(x,y),WEST);
246
 
247
                                        #elif defined (IS_TORUS) // :first_x_torus
248
                                                //assign    router_chan_in[`router_id(x,y)][WEST] =   router_chan_out [`router_id((NX-1),y)][EAST] ;                                            
249
                                                conect_r2r(1,router_id(x,y),WEST,1,router_id((T1-1),y),EAST);
250
                                        #elif defined (IS_FMESH) // :first_x_fmesh
251
                                                unsigned int WEST_ID = T1*T2*T3 + 2*T1 + y;
252
                                                connect_r2e(1,router_id(x,y),WEST,WEST_ID);
253
                                                er_addr [WEST_ID] = R_ADDR;
254
                                        #endif//topology
255
                                }
256
 
257
                                if(y    <    T2-1) {// : firsty
258
                                        //assign  router_chan_in[`router_id(x,y)][SOUTH] =    router_chan_out [`router_id(x,(y+1))][NORTH];                                     
259
                                        conect_r2r(1,router_id(x,y),SOUTH,1,router_id(x,(y+1)),NORTH);
260
                                }else     {// : lasty
261
 
262
                                        #if defined (IS_MESH) // :ly_mesh
263
 
264
                                                //assign  router_chan_in[`router_id(x,y)][SOUTH]=  {SMARTFLIT_CHANEL_w{1'b0}};
265
                                                connect_r2gnd(1,router_id(x,y),SOUTH);
266
 
267
                                        #elif defined (IS_TORUS) // :ly_torus
268
                                                //assign  router_chan_in[`router_id(x,y)][SOUTH]=    router_chan_out [`router_id(x,0)][NORTH];
269
                                                conect_r2r(1,router_id(x,y),SOUTH,1,router_id(x,0),NORTH);
270
                                        #elif defined (IS_FMESH)  // :ly_Fmesh
271
                                                unsigned int SOUTH_ID = T1*T2*T3 + T1 + x;
272
                                                connect_r2e(1,router_id(x,y),SOUTH,SOUTH_ID);
273
                                                er_addr [SOUTH_ID] = R_ADDR;
274
                                        #endif//topology
275
                                }
276
 
277
 
278
                                // endpoint(s) connection
279
                                // connect other local ports
280
                                for  (l=0;   l<T3; l=l+1) {// :locals
281
                                        unsigned int ENDPID = endp_id(x,y,l);
282
                                        unsigned int LOCALP = (l==0) ? l : l + R2R_CHANELS_MESH_TORI; // first local port is connected to router port 0. The rest are connected at the } 
283
 
284
                                        //assign router_chan_in [`router_id(x,y)][LOCALP] =    chan_in_all [ENDPID];
285
                                        //assign chan_out_all [ENDPID] = router_chan_out [`router_id(x,y)][LOCALP];     
286
                                        //assign er_addr [ENDPID] = R_ADDR;             
287
                    connect_r2e(1,router_id(x,y),LOCALP,ENDPID);
288
                                        er_addr [ENDPID] = R_ADDR;
289
                                }// locals                 
290
 
291
                        }//y
292
                }//x
293
        #endif     
294
 
295
 
296
}
297
 
298
 
299
void topology_init(void){
300
        nxw=Log2(T1);
301
        nyw=Log2(T2);
302
    maskx = (0x1<<nxw)-1;
303
    masky = (0x1<<nyw)-1;
304
}
305
 
306
 
307
unsigned int get_mah_distance ( unsigned int id1, unsigned int id2){
308
        #if defined (IS_FMESH)
309
                unsigned int x1,y1,p1,x2,y2,p2;
310
                fmesh_addrencod_sep        ( id1, &x1, &y1, &p1);
311
                fmesh_addrencod_sep        ( id2, &x2, &y2, &p2);
312
    #else
313
                unsigned int x1,y1,l1,x2,y2,l2;
314
                mesh_tori_addrencod_sep(id1, &x1, &y1, &l1);
315
                mesh_tori_addrencod_sep(id2, &x2, &y2, &l2);
316
        #endif
317
 
318
        unsigned int x_diff = (x1 > x2) ? (x1 - x2) : (x2 - x1);
319
        unsigned int y_diff = (y1 > y2) ? (y1 - y2) : (y2 - y1);
320
        return x_diff + y_diff;
321
}
322
 
323
 
324
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.