OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [autogen/] [decode_commands.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
//======================================================== conditions
2
wire cond_0 = dec_ready_2byte_modregrm && { decoder[7:1], 1'b0 } == 8'hC0;
3
wire cond_1 = prefix_group_1_lock  && `DEC_MODREGRM_IS_MOD_11;
4
wire cond_2 = decoder[0] == 1'b0;
5
wire cond_3 = dec_ready_one_one && decoder[7:0] == 8'hE3;
6
wire cond_4 = prefix_group_1_lock ;
7
wire cond_5 = dec_ready_call_jmp_imm && (decoder[7:0] == 8'h9A || decoder[7:0] == 8'hE8);
8
wire cond_6 = decoder[1] == 1'b0;
9
wire cond_7 = dec_ready_modregrm_one && decoder[7:0] == 8'hFF && (decoder[13:11] == 3'd2 || decoder[13:11] == 3'd3);
10
wire cond_8 = prefix_group_1_lock  || (decoder[13:11] == 3'd3 && `DEC_MODREGRM_IS_MOD_11);
11
wire cond_9 = decoder[11] == 1'b0;
12
wire cond_10 = (dec_ready_one && (decoder[7:0] == 8'h06 || decoder[7:0] == 8'h16 || decoder[7:0] == 8'h0E || decoder[7:0] == 8'h1E)) || (dec_ready_2byte_one && (decoder[7:0] == 8'hA0 || decoder[7:0] == 8'hA8));
13
wire cond_11 = dec_ready_modregrm_one && decoder[7:0] == 8'h8C;
14
wire cond_12 = prefix_group_1_lock  || decoder[13:11] >= 3'd6;
15
wire cond_13 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h00 && decoder[13:11] == 3'd0;
16
wire cond_14 = prefix_group_1_lock  || ~(protected_mode);
17
wire cond_15 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h00 && decoder[13:11] == 3'd1;
18
wire cond_16 = dec_ready_modregrm_one && { decoder[7:1], 1'b0 } == 8'hF6 && decoder[13:11] == 3'd3;
19
wire cond_17 = (dec_ready_one_one && decoder[7:4] == 4'h7) || (dec_ready_2byte_imm && decoder[7:4] == 4'h8);
20
wire cond_18 = ~(dec_prefix_2byte);
21
wire cond_19 = dec_prefix_2byte;
22
wire cond_20 = dec_ready_2byte_one && decoder[7:0] == 8'h08;
23
wire cond_21 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h01 && decoder[13:11] == 3'd7;
24
wire cond_22 = prefix_group_1_lock  || `DEC_MODREGRM_IS_MOD_11;
25
wire cond_23 = dec_ready_one && decoder[7:0] == 8'hF4;
26
wire cond_24 = dec_ready_one && { decoder[7:1], 1'b0 } == 8'hAE;
27
wire cond_25 = dec_prefix_group_1_rep != 2'd0;
28
wire cond_26 = dec_ready_one && decoder[7:4] == 4'h4;
29
wire cond_27 = dec_ready_modregrm_one && { decoder[7:1], 1'b0 } == 8'hFE && { decoder[13:12], 1'b0 } == 3'b000;
30
wire cond_28 = (dec_ready_one && decoder[7:0] == 8'hC3) || (dec_ready_one_two && decoder[7:0] == 8'hC2);
31
wire cond_29 = dec_ready_modregrm_one && decoder[7:0] == 8'h63;
32
wire cond_30 = dec_ready_2byte_one && { decoder[7:3], 3'b000 } == 8'hC8;
33
wire cond_31 = (dec_ready_modregrm_one && (decoder[7:0] == 8'hC4 || decoder[7:0] == 8'hC5)) || (dec_ready_2byte_modregrm && (decoder[7:0] == 8'hB2 || decoder[7:0] == 8'hB4 || decoder[7:0] == 8'hB5));
34
wire cond_32 = dec_ready_modregrm_one && decoder[7:0] == 8'h8E;
35
wire cond_33 = prefix_group_1_lock  || decoder[13:11] >= 3'd6 || decoder[13:11] == 3'd1;
36
wire cond_34 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h00 && decoder[13:11] == 3'd2;
37
wire cond_35 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h00 && decoder[13:11] == 3'd3;
38
wire cond_36 = dec_ready_one && decoder[7:0] == 8'hF8;
39
wire cond_37 = dec_ready_one && decoder[7:0] == 8'hFC;
40
wire cond_38 = dec_ready_one && decoder[7:0] == 8'hF5;
41
wire cond_39 = dec_ready_one && decoder[7:0] == 8'hF9;
42
wire cond_40 = dec_ready_one && decoder[7:0] == 8'hFD;
43
wire cond_41 = dec_ready_one && decoder[7:0] == 8'h9E;
44
wire cond_42 = dec_ready_one_one && decoder[7:0] == 8'hD5;
45
wire cond_43 = dec_ready_one_one && decoder[7:0] == 8'hD4;
46
wire cond_44 = (dec_ready_one && (decoder[7:0] == 8'h07 || decoder[7:0] == 8'h17 || decoder[7:0] == 8'h1F)) || (dec_ready_2byte_one && (decoder[7:0] == 8'hA1 || decoder[7:0] == 8'hA9));
47
wire cond_45 = (dec_ready_2byte_modregrm && decoder[7:0] == 8'hA3) || (dec_ready_2byte_modregrm_imm && decoder[7:0] == 8'hBA && decoder[13:11] == 3'd4);
48
wire cond_46 = (dec_ready_2byte_modregrm && decoder[7:0] == 8'hB3) || (dec_ready_2byte_modregrm_imm && decoder[7:0] == 8'hBA && decoder[13:11] == 3'd6);
49
wire cond_47 = (dec_ready_2byte_modregrm && decoder[7:0] == 8'hAB) || (dec_ready_2byte_modregrm_imm && decoder[7:0] == 8'hBA && decoder[13:11] == 3'd5);
50
wire cond_48 = (dec_ready_2byte_modregrm && decoder[7:0] == 8'hBB) || (dec_ready_2byte_modregrm_imm && decoder[7:0] == 8'hBA && decoder[13:11] == 3'd7);
51
wire cond_49 = dec_ready_one && decoder[7:0] == 8'hCF;
52
wire cond_50 = ~(protected_mode);
53
wire cond_51 = dec_ready_one && { decoder[7:3], 3'b0 } == 8'h58;
54
wire cond_52 = dec_ready_modregrm_one && decoder[7:0] == 8'h8F && decoder[13:11] == 3'd0;
55
wire cond_53 = dec_ready_modregrm_one && { decoder[7:1], 1'b0 } == 8'hF6 && decoder[13:11] == 3'd6;
56
wire cond_54 = dec_ready_modregrm_one && { decoder[7:1], 1'b0 } == 8'hF6 && decoder[13:11] == 3'd7;
57
wire cond_55 = dec_ready_modregrm_one && { decoder[7:2], 2'b0 } == 8'hD0;
58
wire cond_56 = decoder[1];
59
wire cond_57 = dec_ready_modregrm_imm && { decoder[7:1], 1'b0 } == 8'hC0;
60
wire cond_58 = dec_ready_one && { decoder[7:1], 1'b0 } == 8'hA6;
61
wire cond_59 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h01 && decoder[13:11] == 3'd4;
62
wire cond_60 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h01 && decoder[13:11] == 3'd6;
63
wire cond_61 = dec_ready_2byte_modregrm && { decoder[7:2], 1'b0, decoder[0] } == 8'h20;
64
wire cond_62 = prefix_group_1_lock  || (decoder[13:11] != 3'd0 && decoder[13:11] != 3'd2 && decoder[13:11] != 3'd3);
65
wire cond_63 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h01 && decoder[13:11] == 3'd2;
66
wire cond_64 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h01 && decoder[13:11] == 3'd3;
67
wire cond_65 = dec_ready_one && decoder[7:0] == 8'h60;
68
wire cond_66 = dec_ready_one && decoder[7:0] == 8'h9B;
69
wire cond_67 = dec_ready_modregrm_one && { decoder[7:3], 3'b0 } == 8'hD8;
70
wire cond_68 = dec_ready_2byte_modregrm && decoder[7:4] == 4'h9;
71
wire cond_69 = dec_ready_2byte_modregrm && { decoder[7:1], 1'b0 } == 8'hB0;
72
wire cond_70 = dec_ready_one_three && decoder[7:0] == 8'hC8;
73
wire cond_71 = (dec_ready_modregrm_one && ({ decoder[7:1], 1'b0 } == 8'hF6 && decoder[13:11] == 3'd5)) || (dec_ready_2byte_modregrm && decoder[7:0] == 8'hAF);
74
wire cond_72 = dec_ready_modregrm_imm && (decoder[7:0] == 8'h69 || decoder[7:0] == 8'h6B);
75
wire cond_73 = dec_ready_one && decoder[7:0] == 8'hC9;
76
wire cond_74 = (dec_ready_2byte_modregrm && decoder[7:0] == 8'hA5) || (dec_ready_2byte_modregrm_imm && decoder[7:0] == 8'hA4);
77
wire cond_75 = decoder[0];
78
wire cond_76 = (dec_ready_2byte_modregrm && decoder[7:0] == 8'hAD) || (dec_ready_2byte_modregrm_imm && decoder[7:0] == 8'hAC);
79
wire cond_77 = dec_ready_2byte_one && decoder[7:0] == 8'h09;
80
wire cond_78 = dec_ready_one_imm && decoder[7:6] == 2'b00 && decoder[2:1] == 2'b10;
81
wire cond_79 = dec_ready_modregrm_one && decoder[7:6] == 2'b00 && decoder[2] == 1'b0;
82
wire cond_80 = prefix_group_1_lock  && (decoder[1] == 1'b1 || `DEC_MODREGRM_IS_MOD_11 || decoder[5:3] == 3'b111);
83
wire cond_81 = dec_ready_modregrm_imm && { decoder[7:2], 2'b00 } == 8'h80;
84
wire cond_82 = prefix_group_1_lock  && (decoder[13:11] == 3'b111 || `DEC_MODREGRM_IS_MOD_11);
85
wire cond_83 = dec_ready_modregrm_one && { decoder[7:1], 1'b0 } == 8'hF6 && decoder[13:11] == 3'd4;
86
wire cond_84 = dec_ready_one_one && (decoder[7:0] == 8'hE0 || decoder[7:0] == 8'hE1 || decoder[7:0] == 8'hE2);
87
wire cond_85 = dec_ready_one_imm && { decoder[7:1], 1'b0 } == 8'hA8;
88
wire cond_86 = dec_ready_modregrm_one && { decoder[7:1], 1'b0 } == 8'h84;
89
wire cond_87 = dec_ready_modregrm_imm && { decoder[7:1], 1'b0 } == 8'hF6 && { decoder[13:12], 1'b0 } == 3'd0;
90
wire cond_88 = dec_ready_2byte_one && decoder[7:0] == 8'h06;
91
wire cond_89 = (dec_ready_one && decoder[7:0] == 8'hCB) || (dec_ready_one_two && decoder[7:0] == 8'hCA);
92
wire cond_90 = dec_ready_one && { decoder[7:1], 1'b0 } == 8'hAC;
93
wire cond_91 = dec_ready_one && { decoder[7:3], 3'b0 } == 8'h90;
94
wire cond_92 = dec_ready_modregrm_one && { decoder[7:1], 1'b0 } == 8'h86;
95
wire cond_93 = dec_ready_one && { decoder[7:3], 3'b0 } == 8'h50;
96
wire cond_94 = dec_ready_one_imm && (decoder[7:0] == 8'h6A || decoder[7:0] == 8'h68);
97
wire cond_95 = dec_ready_modregrm_one && decoder[7:0] == 8'hFF && decoder[13:11] == 3'd6;
98
wire cond_96 = (dec_ready_one && (decoder[7:0] == 8'hCC || decoder[7:0] == 8'hCE || decoder[7:0] == 8'hF1)) || (dec_ready_one_one && decoder[7:0] == 8'hCD);
99
wire cond_97 = (decoder[0] ^ decoder[2]) == 1'b1;
100
wire cond_98 = dec_ready_2byte_one && decoder[7:0] == 8'hA2;
101
wire cond_99 = (dec_ready_one && { decoder[7:1], 1'b0 } == 8'hEC) || (dec_ready_one_one && { decoder[7:1], 1'b0 } == 8'hE4);
102
wire cond_100 = decoder[3];
103
wire cond_101 = decoder[3] == 1'b1;
104
wire cond_102 = dec_ready_modregrm_one && { decoder[7:1], 1'b0 } == 8'hF6 && decoder[13:11] == 3'd2;
105
wire cond_103 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h00 && decoder[13:11] == 3'd4;
106
wire cond_104 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h00 && decoder[13:11] == 3'd5;
107
wire cond_105 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h02;
108
wire cond_106 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h03;
109
wire cond_107 = dec_ready_one && { decoder[7:1], 1'b0 } == 8'hAA;
110
wire cond_108 = dec_ready_one && { decoder[7:1], 1'b0 } == 8'h6C;
111
wire cond_109 = dec_ready_one && { decoder[7:1], 1'b0 } == 8'h6E;
112
wire cond_110 = dec_ready_one && decoder[7:0] == 8'h9C;
113
wire cond_111 = dec_ready_call_jmp_imm && (decoder[7:0] == 8'hEA || decoder[7:0] == 8'hE9 || decoder[7:0] == 8'hEB);
114
wire cond_112 = decoder[3:0] == 4'hB;
115
wire cond_113 = dec_ready_modregrm_one && decoder[7:0] == 8'hFF && (decoder[13:11] == 3'd4 || decoder[13:11] == 3'd5);
116
wire cond_114 = prefix_group_1_lock  || (decoder[13:11] == 3'd5 && `DEC_MODREGRM_IS_MOD_11);
117
wire cond_115 = (dec_ready_one && { decoder[7:1], 1'b0 } == 8'hEE) || (dec_ready_one_one && { decoder[7:1], 1'b0 } == 8'hE6);
118
wire cond_116 = dec_ready_mem_offset && { decoder[7:2], 2'b0 } == 8'hA0;
119
wire cond_117 = dec_ready_one_imm && decoder[7:4] == 4'hB;
120
wire cond_118 = decoder[3] == 1'b0;
121
wire cond_119 = dec_ready_modregrm_one && { decoder[7:2], 2'b0 } == 8'h88;
122
wire cond_120 = dec_ready_modregrm_imm && { decoder[7:1], 1'b0 } == 8'hC6 && decoder[13:11] == 3'd0;
123
wire cond_121 = dec_ready_one && decoder[7:0] == 8'h9F;
124
wire cond_122 = dec_ready_one && decoder[7:0] == 8'h98;
125
wire cond_123 = dec_ready_one && decoder[7:0] == 8'h99;
126
wire cond_124 = dec_ready_one && decoder[7:0] == 8'h9D;
127
wire cond_125 = dec_ready_one && decoder[7:0] == 8'hFA;
128
wire cond_126 = dec_ready_one && decoder[7:0] == 8'hFB;
129
wire cond_127 = dec_ready_modregrm_one && decoder[7:0] == 8'h62;
130
wire cond_128 = dec_ready_one && decoder[7:0] == 8'hD6;
131
wire cond_129 = dec_ready_modregrm_one && decoder[7:0] == 8'h8D;
132
wire cond_130 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h01 && decoder[13:11] == 3'd0;
133
wire cond_131 = dec_ready_2byte_modregrm && decoder[7:0] == 8'h01 && decoder[13:11] == 3'd1;
134
wire cond_132 = dec_ready_one && { decoder[7:1], 1'b0 } == 8'hA4;
135
wire cond_133 = dec_ready_2byte_modregrm && { decoder[7:1], 1'b0 } == 8'hB6;
136
wire cond_134 = dec_ready_2byte_modregrm && { decoder[7:1], 1'b0 } == 8'hBE;
137
wire cond_135 = dec_ready_one && decoder[7:0] == 8'h61;
138
wire cond_136 = dec_ready_2byte_modregrm && { decoder[7:2], 1'b0, decoder[0] } == 8'h21;
139
wire cond_137 = dec_ready_one && decoder[7:0] == 8'hD7;
140
wire cond_138 = dec_ready_one && decoder[7:0] == 8'h37;
141
wire cond_139 = dec_ready_one && decoder[7:0] == 8'h3F;
142
wire cond_140 = dec_ready_one && decoder[7:0] == 8'h27;
143
wire cond_141 = dec_ready_one && decoder[7:0] == 8'h2F;
144
wire cond_142 = dec_ready_2byte_modregrm && decoder[7:0] == 8'hBC;
145
wire cond_143 = dec_ready_2byte_modregrm && decoder[7:0] == 8'hBD;
146
//======================================================== saves
147
//======================================================== always
148
//======================================================== sets
149
assign consume_mem_offset =
150
    (cond_116 && ~cond_4)? (`TRUE) :
151
    1'd0;
152
assign dec_cmd =
153
    (cond_0 && ~cond_1)? ( `CMD_XADD) :
154
    (cond_3 && ~cond_4)? ( `CMD_JCXZ) :
155
    (cond_5 && ~cond_4)? ( `CMD_CALL) :
156
    (cond_7 && ~cond_8)? ( `CMD_CALL) :
157
    (cond_10 && ~cond_4)? ( `CMD_PUSH_MOV_SEG) :
158
    (cond_11 && ~cond_12)? ( `CMD_PUSH_MOV_SEG) :
159
    (cond_13 && ~cond_14)? ( `CMD_PUSH_MOV_SEG) :
160
    (cond_15 && ~cond_14)? ( `CMD_PUSH_MOV_SEG) :
161
    (cond_16 && ~cond_1)? ( `CMD_NEG) :
162
    (cond_17 && ~cond_4)? ( `CMD_Jcc) :
163
    (cond_20 && ~cond_4)? ( `CMD_INVD) :
164
    (cond_21 && ~cond_22)? ( `CMD_INVLPG) :
165
    (cond_23 && ~cond_4)? ( `CMD_HLT) :
166
    (cond_24 && ~cond_4)? ( `CMD_SCAS) :
167
    (cond_26 && ~cond_4)? ( `CMD_INC_DEC) :
168
    (cond_27 && ~cond_1)? ( `CMD_INC_DEC) :
169
    (cond_28 && ~cond_4)? ( `CMD_RET_near) :
170
    (cond_29 && ~cond_14)? ( `CMD_ARPL) :
171
    (cond_30 && ~cond_4)? ( `CMD_BSWAP) :
172
    (cond_31 && ~cond_22)? ( `CMD_LxS) :
173
    (cond_32 && ~cond_33)? ( `CMD_MOV_to_seg) :
174
    (cond_34 && ~cond_14)? ( `CMD_LLDT) :
175
    (cond_35 && ~cond_14)? ( `CMD_LTR) :
176
    (cond_36 && ~cond_4)? ( `CMD_CLC) :
177
    (cond_37 && ~cond_4)? ( `CMD_CLD) :
178
    (cond_38 && ~cond_4)? ( `CMD_CMC) :
179
    (cond_39 && ~cond_4)? ( `CMD_STC) :
180
    (cond_40 && ~cond_4)? ( `CMD_STD) :
181
    (cond_41 && ~cond_4)? ( `CMD_SAHF) :
182
    (cond_42 && ~cond_4)? ( `CMD_AAD) :
183
    (cond_43 && ~cond_4)? ( `CMD_AAM) :
184
    (cond_44 && ~cond_4)? ( `CMD_POP_seg) :
185
    (cond_45 && ~cond_4)? ( `CMD_BT) :
186
    (cond_46 && ~cond_1)? ( `CMD_BTR) :
187
    (cond_47 && ~cond_1)? ( `CMD_BTS) :
188
    (cond_48 && ~cond_1)? ( `CMD_BTC) :
189
    (cond_49 && ~cond_4)? ( `CMD_IRET) :
190
    (cond_51 && ~cond_4)? ( `CMD_POP) :
191
    (cond_52 && ~cond_4)? ( `CMD_POP) :
192
    (cond_53 && ~cond_4)? ( `CMD_DIV) :
193
    (cond_54 && ~cond_4)? ( `CMD_IDIV) :
194
    (cond_55 && ~cond_4)? ( `CMD_Shift) :
195
    (cond_57 && ~cond_4)? ( `CMD_Shift) :
196
    (cond_58 && ~cond_4)? ( `CMD_CMPS) :
197
    (cond_59 && ~cond_4)? ( `CMD_control_reg) :
198
    (cond_60 && ~cond_4)? ( `CMD_control_reg) :
199
    (cond_61 && ~cond_62)? ( `CMD_control_reg) :
200
    (cond_63 && ~cond_22)? ( `CMD_LGDT) :
201
    (cond_64 && ~cond_22)? ( `CMD_LIDT) :
202
    (cond_65 && ~cond_4)? ( `CMD_PUSHA) :
203
    (cond_66 && ~cond_4)? ( `CMD_fpu) :
204
    (cond_67 && ~cond_4)? ( `CMD_fpu) :
205
    (cond_68 && ~cond_4)? ( `CMD_SETcc) :
206
    (cond_69 && ~cond_1)? ( `CMD_CMPXCHG) :
207
    (cond_70 && ~cond_4)? ( `CMD_ENTER) :
208
    (cond_71 && ~cond_4)? ( `CMD_IMUL) :
209
    (cond_72 && ~cond_4)? ( `CMD_IMUL) :
210
    (cond_73 && ~cond_4)? ( `CMD_LEAVE) :
211
    (cond_74 && ~cond_4)? ( `CMD_SHLD) :
212
    (cond_76 && ~cond_4)? ( `CMD_SHRD) :
213
    (cond_77 && ~cond_4)? ( `CMD_WBINVD) :
214
    (cond_78 && ~cond_4)? ( {`CMD_Arith | { 4'd0, decoder[5:3] } }) :
215
    (cond_79 && ~cond_80)? ( {`CMD_Arith | { 4'd0, decoder[5:3] } }) :
216
    (cond_81 && ~cond_82)? ( {`CMD_Arith | { 4'd0, decoder[13:11] } }) :
217
    (cond_83 && ~cond_4)? ( `CMD_MUL) :
218
    (cond_84 && ~cond_4)? ( `CMD_LOOP) :
219
    (cond_85 && ~cond_4)? ( `CMD_TEST) :
220
    (cond_86 && ~cond_4)? ( `CMD_TEST) :
221
    (cond_87 && ~cond_4)? ( `CMD_TEST) :
222
    (cond_88 && ~cond_4)? ( `CMD_CLTS) :
223
    (cond_89 && ~cond_4)? ( `CMD_RET_far) :
224
    (cond_90 && ~cond_4)? ( `CMD_LODS) :
225
    (cond_91 && ~cond_4)? ( `CMD_XCHG) :
226
    (cond_92 && ~cond_1)? ( `CMD_XCHG) :
227
    (cond_93 && ~cond_4)? ( `CMD_PUSH) :
228
    (cond_94 && ~cond_4)? ( `CMD_PUSH) :
229
    (cond_95 && ~cond_4)? ( `CMD_PUSH) :
230
    (cond_96 && ~cond_4)? ( `CMD_INT_INTO) :
231
    (cond_98 && ~cond_4)? ( `CMD_CPUID) :
232
    (cond_99 && ~cond_4)? ( `CMD_IN) :
233
    (cond_102 && ~cond_1)? ( `CMD_NOT) :
234
    (cond_103 && ~cond_14)? ( `CMD_VERR) :
235
    (cond_104 && ~cond_14)? ( `CMD_VERW) :
236
    (cond_105 && ~cond_14)? ( `CMD_LAR) :
237
    (cond_106 && ~cond_14)? ( `CMD_LSL) :
238
    (cond_107 && ~cond_4)? ( `CMD_STOS) :
239
    (cond_108 && ~cond_4)? ( `CMD_INS) :
240
    (cond_109 && ~cond_4)? ( `CMD_OUTS) :
241
    (cond_110 && ~cond_4)? ( `CMD_PUSHF) :
242
    (cond_111 && ~cond_4)? ( `CMD_JMP) :
243
    (cond_113 && ~cond_114)? ( `CMD_JMP) :
244
    (cond_115 && ~cond_4)? ( `CMD_OUT) :
245
    (cond_116 && ~cond_4)? ( `CMD_MOV) :
246
    (cond_117 && ~cond_4)? ( `CMD_MOV) :
247
    (cond_119 && ~cond_4)? ( `CMD_MOV) :
248
    (cond_120 && ~cond_4)? ( `CMD_MOV) :
249
    (cond_121 && ~cond_4)? ( `CMD_LAHF) :
250
    (cond_122 && ~cond_4)? ( `CMD_CBW) :
251
    (cond_123 && ~cond_4)? ( `CMD_CWD) :
252
    (cond_124 && ~cond_4)? ( `CMD_POPF) :
253
    (cond_125 && ~cond_4)? ( `CMD_CLI) :
254
    (cond_126 && ~cond_4)? ( `CMD_STI) :
255
    (cond_127 && ~cond_22)? ( `CMD_BOUND) :
256
    (cond_128 && ~cond_4)? ( `CMD_SALC) :
257
    (cond_129 && ~cond_22)? ( `CMD_LEA) :
258
    (cond_130 && ~cond_22)? ( `CMD_SGDT) :
259
    (cond_131 && ~cond_22)? ( `CMD_SIDT) :
260
    (cond_132 && ~cond_4)? ( `CMD_MOVS) :
261
    (cond_133 && ~cond_4)? ( `CMD_MOVZX) :
262
    (cond_134 && ~cond_4)? ( `CMD_MOVSX) :
263
    (cond_135 && ~cond_4)? ( `CMD_POPA) :
264
    (cond_136 && ~cond_4)? ( `CMD_debug_reg) :
265
    (cond_137 && ~cond_4)? ( `CMD_XLAT) :
266
    (cond_138 && ~cond_4)? ( `CMD_AAA) :
267
    (cond_139 && ~cond_4)? ( `CMD_AAS) :
268
    (cond_140 && ~cond_4)? ( `CMD_DAA) :
269
    (cond_141 && ~cond_4)? ( `CMD_DAS) :
270
    (cond_142 && ~cond_4)? ( `CMD_BSF) :
271
    (cond_143 && ~cond_4)? ( `CMD_BSR) :
272
    7'd0;
273
assign dec_is_complex =
274
    (cond_0 && ~cond_1)? (`TRUE) :
275
    (cond_5 && ~cond_4)? (`TRUE) :
276
    (cond_7 && ~cond_8)? (`TRUE) :
277
    (cond_20 && ~cond_4)? (`TRUE) :
278
    (cond_21 && ~cond_22)? (`TRUE) :
279
    (cond_23 && ~cond_4)? (`TRUE) :
280
    (cond_24 && ~cond_4 && cond_25)? (`TRUE) :
281
    (cond_28 && ~cond_4)? (`TRUE) :
282
    (cond_31 && ~cond_22)? (`TRUE) :
283
    (cond_32 && ~cond_33)? (`TRUE) :
284
    (cond_34 && ~cond_14)? (`TRUE) :
285
    (cond_35 && ~cond_14)? (`TRUE) :
286
    (cond_44 && ~cond_4)? (`TRUE) :
287
    (cond_49 && ~cond_4)? (`TRUE) :
288
    (cond_52 && ~cond_4)? (`TRUE) :
289
    (cond_58 && ~cond_4)? (`TRUE) :
290
    (cond_60 && ~cond_4)? (`TRUE) :
291
    (cond_61 && ~cond_62 && cond_56)? (`TRUE) :
292
    (cond_63 && ~cond_22)? (`TRUE) :
293
    (cond_64 && ~cond_22)? (`TRUE) :
294
    (cond_65 && ~cond_4)? (`TRUE) :
295
    (cond_70 && ~cond_4)? (`TRUE) :
296
    (cond_77 && ~cond_4)? (`TRUE) :
297
    (cond_88 && ~cond_4)? (`TRUE) :
298
    (cond_89 && ~cond_4)? (`TRUE) :
299
    (cond_90 && ~cond_4 && cond_25)? (`TRUE) :
300
    (cond_92 && ~cond_1)? (`TRUE) :
301
    (cond_96 && ~cond_4)? (`TRUE) :
302
    (cond_98 && ~cond_4)? (`TRUE) :
303
    (cond_99 && ~cond_4)? (`TRUE) :
304
    (cond_103 && ~cond_14)? (`TRUE) :
305
    (cond_104 && ~cond_14)? (`TRUE) :
306
    (cond_105 && ~cond_14)? (`TRUE) :
307
    (cond_106 && ~cond_14)? (`TRUE) :
308
    (cond_107 && ~cond_4 && cond_25)? (`TRUE) :
309
    (cond_108 && ~cond_4)? (`TRUE) :
310
    (cond_109 && ~cond_4)? (`TRUE) :
311
    (cond_111 && ~cond_4)? (`TRUE) :
312
    (cond_113 && ~cond_114)? (`TRUE) :
313
    (cond_115 && ~cond_4)? (`TRUE) :
314
    (cond_124 && ~cond_4)? (`TRUE) :
315
    (cond_127 && ~cond_22)? (`TRUE) :
316
    (cond_130 && ~cond_22)? (`TRUE) :
317
    (cond_131 && ~cond_22)? (`TRUE) :
318
    (cond_132 && ~cond_4 && cond_25)? (`TRUE) :
319
    (cond_135 && ~cond_4)? (`TRUE) :
320
    (cond_136 && ~cond_4 && cond_56)? (`TRUE) :
321
    1'd0;
322
assign consume_one_two =
323
    (cond_28 && ~cond_4 && cond_2)? (`TRUE) :
324
    (cond_89 && ~cond_4 && cond_2)? (`TRUE) :
325
    1'd0;
326
assign consume_modregrm_imm =
327
    (cond_45 && ~cond_4 && cond_2)? (`TRUE) :
328
    (cond_46 && ~cond_1 && cond_2)? (`TRUE) :
329
    (cond_47 && ~cond_1 && cond_2)? (`TRUE) :
330
    (cond_48 && ~cond_1 && cond_2)? (`TRUE) :
331
    (cond_57 && ~cond_4)? (`TRUE) :
332
    (cond_72 && ~cond_4)? (`TRUE) :
333
    (cond_74 && ~cond_4 && cond_2)? (`TRUE) :
334
    (cond_76 && ~cond_4 && cond_2)? (`TRUE) :
335
    (cond_81 && ~cond_82)? (`TRUE) :
336
    (cond_87 && ~cond_4)? (`TRUE) :
337
    (cond_120 && ~cond_4)? (`TRUE) :
338
    1'd0;
339
assign consume_one =
340
    (cond_10 && ~cond_4)? (`TRUE) :
341
    (cond_20 && ~cond_4)? (`TRUE) :
342
    (cond_23 && ~cond_4)? (`TRUE) :
343
    (cond_24 && ~cond_4)? (`TRUE) :
344
    (cond_26 && ~cond_4)? (`TRUE) :
345
    (cond_28 && ~cond_4 && ~cond_2)? (`TRUE) :
346
    (cond_30 && ~cond_4)? (`TRUE) :
347
    (cond_36 && ~cond_4)? (`TRUE) :
348
    (cond_37 && ~cond_4)? (`TRUE) :
349
    (cond_38 && ~cond_4)? (`TRUE) :
350
    (cond_39 && ~cond_4)? (`TRUE) :
351
    (cond_40 && ~cond_4)? (`TRUE) :
352
    (cond_41 && ~cond_4)? (`TRUE) :
353
    (cond_44 && ~cond_4)? (`TRUE) :
354
    (cond_49 && ~cond_4)? (`TRUE) :
355
    (cond_51 && ~cond_4)? (`TRUE) :
356
    (cond_58 && ~cond_4)? (`TRUE) :
357
    (cond_65 && ~cond_4)? (`TRUE) :
358
    (cond_66 && ~cond_4)? (`TRUE) :
359
    (cond_73 && ~cond_4)? (`TRUE) :
360
    (cond_77 && ~cond_4)? (`TRUE) :
361
    (cond_88 && ~cond_4)? (`TRUE) :
362
    (cond_89 && ~cond_4 && ~cond_2)? (`TRUE) :
363
    (cond_90 && ~cond_4)? (`TRUE) :
364
    (cond_91 && ~cond_4)? (`TRUE) :
365
    (cond_93 && ~cond_4)? (`TRUE) :
366
    (cond_96 && ~cond_4 && cond_97)? (`TRUE) :
367
    (cond_98 && ~cond_4)? (`TRUE) :
368
    (cond_99 && ~cond_4 && cond_101)? (`TRUE) :
369
    (cond_107 && ~cond_4)? (`TRUE) :
370
    (cond_108 && ~cond_4)? (`TRUE) :
371
    (cond_109 && ~cond_4)? (`TRUE) :
372
    (cond_110 && ~cond_4)? (`TRUE) :
373
    (cond_115 && ~cond_4 && cond_101)? (`TRUE) :
374
    (cond_121 && ~cond_4)? (`TRUE) :
375
    (cond_122 && ~cond_4)? (`TRUE) :
376
    (cond_123 && ~cond_4)? (`TRUE) :
377
    (cond_124 && ~cond_4)? (`TRUE) :
378
    (cond_125 && ~cond_4)? (`TRUE) :
379
    (cond_126 && ~cond_4)? (`TRUE) :
380
    (cond_128 && ~cond_4)? (`TRUE) :
381
    (cond_132 && ~cond_4)? (`TRUE) :
382
    (cond_135 && ~cond_4)? (`TRUE) :
383
    (cond_137 && ~cond_4)? (`TRUE) :
384
    (cond_138 && ~cond_4)? (`TRUE) :
385
    (cond_139 && ~cond_4)? (`TRUE) :
386
    (cond_140 && ~cond_4)? (`TRUE) :
387
    (cond_141 && ~cond_4)? (`TRUE) :
388
    1'd0;
389
assign consume_one_one =
390
    (cond_3 && ~cond_4)? (`TRUE) :
391
    (cond_17 && ~cond_4 && ~cond_19)? (`TRUE) :
392
    (cond_42 && ~cond_4)? (`TRUE) :
393
    (cond_43 && ~cond_4)? (`TRUE) :
394
    (cond_84 && ~cond_4)? (`TRUE) :
395
    (cond_96 && ~cond_4 && ~cond_97)? (`TRUE) :
396
    (cond_99 && ~cond_4 && ~cond_101)? (`TRUE) :
397
    (cond_115 && ~cond_4 && ~cond_101)? (`TRUE) :
398
    1'd0;
399
assign exception_ud =
400
    (cond_0 && cond_1)? (`TRUE) :
401
    (cond_3 && cond_4)? (`TRUE) :
402
    (cond_5 && cond_4)? (`TRUE) :
403
    (cond_7 && cond_8)? (`TRUE) :
404
    (cond_10 && cond_4)? (`TRUE) :
405
    (cond_11 && cond_12)? (`TRUE) :
406
    (cond_13 && cond_14)? (`TRUE) :
407
    (cond_15 && cond_14)? (`TRUE) :
408
    (cond_16 && cond_1)? (`TRUE) :
409
    (cond_17 && cond_4)? (`TRUE) :
410
    (cond_20 && cond_4)? (`TRUE) :
411
    (cond_21 && cond_22)? (`TRUE) :
412
    (cond_23 && cond_4)? (`TRUE) :
413
    (cond_24 && cond_4)? (`TRUE) :
414
    (cond_26 && cond_4)? (`TRUE) :
415
    (cond_27 && cond_1)? (`TRUE) :
416
    (cond_28 && cond_4)? (`TRUE) :
417
    (cond_29 && cond_14)? (`TRUE) :
418
    (cond_30 && cond_4)? (`TRUE) :
419
    (cond_31 && cond_22)? (`TRUE) :
420
    (cond_32 && cond_33)? (`TRUE) :
421
    (cond_34 && cond_14)? (`TRUE) :
422
    (cond_35 && cond_14)? (`TRUE) :
423
    (cond_36 && cond_4)? (`TRUE) :
424
    (cond_37 && cond_4)? (`TRUE) :
425
    (cond_38 && cond_4)? (`TRUE) :
426
    (cond_39 && cond_4)? (`TRUE) :
427
    (cond_40 && cond_4)? (`TRUE) :
428
    (cond_41 && cond_4)? (`TRUE) :
429
    (cond_42 && cond_4)? (`TRUE) :
430
    (cond_43 && cond_4)? (`TRUE) :
431
    (cond_44 && cond_4)? (`TRUE) :
432
    (cond_45 && cond_4)? (`TRUE) :
433
    (cond_46 && cond_1)? (`TRUE) :
434
    (cond_47 && cond_1)? (`TRUE) :
435
    (cond_48 && cond_1)? (`TRUE) :
436
    (cond_49 && cond_4)? (`TRUE) :
437
    (cond_51 && cond_4)? (`TRUE) :
438
    (cond_52 && cond_4)? (`TRUE) :
439
    (cond_53 && cond_4)? (`TRUE) :
440
    (cond_54 && cond_4)? (`TRUE) :
441
    (cond_55 && cond_4)? (`TRUE) :
442
    (cond_57 && cond_4)? (`TRUE) :
443
    (cond_58 && cond_4)? (`TRUE) :
444
    (cond_59 && cond_4)? (`TRUE) :
445
    (cond_60 && cond_4)? (`TRUE) :
446
    (cond_61 && cond_62)? (`TRUE) :
447
    (cond_63 && cond_22)? (`TRUE) :
448
    (cond_64 && cond_22)? (`TRUE) :
449
    (cond_65 && cond_4)? (`TRUE) :
450
    (cond_66 && cond_4)? (`TRUE) :
451
    (cond_67 && cond_4)? (`TRUE) :
452
    (cond_68 && cond_4)? (`TRUE) :
453
    (cond_69 && cond_1)? (`TRUE) :
454
    (cond_70 && cond_4)? (`TRUE) :
455
    (cond_71 && cond_4)? (`TRUE) :
456
    (cond_72 && cond_4)? (`TRUE) :
457
    (cond_73 && cond_4)? (`TRUE) :
458
    (cond_74 && cond_4)? (`TRUE) :
459
    (cond_76 && cond_4)? (`TRUE) :
460
    (cond_77 && cond_4)? (`TRUE) :
461
    (cond_78 && cond_4)? (`TRUE) :
462
    (cond_79 && cond_80)? (`TRUE) :
463
    (cond_81 && cond_82)? (`TRUE) :
464
    (cond_83 && cond_4)? (`TRUE) :
465
    (cond_84 && cond_4)? (`TRUE) :
466
    (cond_85 && cond_4)? (`TRUE) :
467
    (cond_86 && cond_4)? (`TRUE) :
468
    (cond_87 && cond_4)? (`TRUE) :
469
    (cond_88 && cond_4)? (`TRUE) :
470
    (cond_89 && cond_4)? (`TRUE) :
471
    (cond_90 && cond_4)? (`TRUE) :
472
    (cond_91 && cond_4)? (`TRUE) :
473
    (cond_92 && cond_1)? (`TRUE) :
474
    (cond_93 && cond_4)? (`TRUE) :
475
    (cond_94 && cond_4)? (`TRUE) :
476
    (cond_95 && cond_4)? (`TRUE) :
477
    (cond_96 && cond_4)? (`TRUE) :
478
    (cond_98 && cond_4)? (`TRUE) :
479
    (cond_99 && cond_4)? (`TRUE) :
480
    (cond_102 && cond_1)? (`TRUE) :
481
    (cond_103 && cond_14)? (`TRUE) :
482
    (cond_104 && cond_14)? (`TRUE) :
483
    (cond_105 && cond_14)? (`TRUE) :
484
    (cond_106 && cond_14)? (`TRUE) :
485
    (cond_107 && cond_4)? (`TRUE) :
486
    (cond_108 && cond_4)? (`TRUE) :
487
    (cond_109 && cond_4)? (`TRUE) :
488
    (cond_110 && cond_4)? (`TRUE) :
489
    (cond_111 && cond_4)? (`TRUE) :
490
    (cond_113 && cond_114)? (`TRUE) :
491
    (cond_115 && cond_4)? (`TRUE) :
492
    (cond_116 && cond_4)? (`TRUE) :
493
    (cond_117 && cond_4)? (`TRUE) :
494
    (cond_119 && cond_4)? (`TRUE) :
495
    (cond_120 && cond_4)? (`TRUE) :
496
    (cond_121 && cond_4)? (`TRUE) :
497
    (cond_122 && cond_4)? (`TRUE) :
498
    (cond_123 && cond_4)? (`TRUE) :
499
    (cond_124 && cond_4)? (`TRUE) :
500
    (cond_125 && cond_4)? (`TRUE) :
501
    (cond_126 && cond_4)? (`TRUE) :
502
    (cond_127 && cond_22)? (`TRUE) :
503
    (cond_128 && cond_4)? (`TRUE) :
504
    (cond_129 && cond_22)? (`TRUE) :
505
    (cond_130 && cond_22)? (`TRUE) :
506
    (cond_131 && cond_22)? (`TRUE) :
507
    (cond_132 && cond_4)? (`TRUE) :
508
    (cond_133 && cond_4)? (`TRUE) :
509
    (cond_134 && cond_4)? (`TRUE) :
510
    (cond_135 && cond_4)? (`TRUE) :
511
    (cond_136 && cond_4)? (`TRUE) :
512
    (cond_137 && cond_4)? (`TRUE) :
513
    (cond_138 && cond_4)? (`TRUE) :
514
    (cond_139 && cond_4)? (`TRUE) :
515
    (cond_140 && cond_4)? (`TRUE) :
516
    (cond_141 && cond_4)? (`TRUE) :
517
    (cond_142 && cond_4)? (`TRUE) :
518
    (cond_143 && cond_4)? (`TRUE) :
519
    1'd0;
520
assign consume_one_imm =
521
    (cond_17 && ~cond_4 && cond_19)? (`TRUE) :
522
    (cond_78 && ~cond_4)? (`TRUE) :
523
    (cond_85 && ~cond_4)? (`TRUE) :
524
    (cond_94 && ~cond_4)? (`TRUE) :
525
    (cond_117 && ~cond_4)? (`TRUE) :
526
    1'd0;
527
assign consume_call_jmp_imm =
528
    (cond_5 && ~cond_4)? (`TRUE) :
529
    (cond_111 && ~cond_4)? (`TRUE) :
530
    1'd0;
531
assign consume_modregrm_one =
532
    (cond_0 && ~cond_1)? (`TRUE) :
533
    (cond_7 && ~cond_8)? (`TRUE) :
534
    (cond_11 && ~cond_12)? (`TRUE) :
535
    (cond_13 && ~cond_14)? (`TRUE) :
536
    (cond_15 && ~cond_14)? (`TRUE) :
537
    (cond_16 && ~cond_1)? (`TRUE) :
538
    (cond_21 && ~cond_22)? (`TRUE) :
539
    (cond_27 && ~cond_1)? (`TRUE) :
540
    (cond_29 && ~cond_14)? (`TRUE) :
541
    (cond_31 && ~cond_22)? (`TRUE) :
542
    (cond_32 && ~cond_33)? (`TRUE) :
543
    (cond_34 && ~cond_14)? (`TRUE) :
544
    (cond_35 && ~cond_14)? (`TRUE) :
545
    (cond_45 && ~cond_4 && ~cond_2)? (`TRUE) :
546
    (cond_46 && ~cond_1 && ~cond_2)? (`TRUE) :
547
    (cond_47 && ~cond_1 && ~cond_2)? (`TRUE) :
548
    (cond_48 && ~cond_1 && ~cond_2)? (`TRUE) :
549
    (cond_52 && ~cond_4)? (`TRUE) :
550
    (cond_53 && ~cond_4)? (`TRUE) :
551
    (cond_54 && ~cond_4)? (`TRUE) :
552
    (cond_55 && ~cond_4)? (`TRUE) :
553
    (cond_59 && ~cond_4)? (`TRUE) :
554
    (cond_60 && ~cond_4)? (`TRUE) :
555
    (cond_61 && ~cond_62)? (`TRUE) :
556
    (cond_63 && ~cond_22)? (`TRUE) :
557
    (cond_64 && ~cond_22)? (`TRUE) :
558
    (cond_67 && ~cond_4)? (`TRUE) :
559
    (cond_68 && ~cond_4)? (`TRUE) :
560
    (cond_69 && ~cond_1)? (`TRUE) :
561
    (cond_71 && ~cond_4)? (`TRUE) :
562
    (cond_74 && ~cond_4 && ~cond_2)? (`TRUE) :
563
    (cond_76 && ~cond_4 && ~cond_2)? (`TRUE) :
564
    (cond_79 && ~cond_80)? (`TRUE) :
565
    (cond_83 && ~cond_4)? (`TRUE) :
566
    (cond_86 && ~cond_4)? (`TRUE) :
567
    (cond_92 && ~cond_1)? (`TRUE) :
568
    (cond_95 && ~cond_4)? (`TRUE) :
569
    (cond_102 && ~cond_1)? (`TRUE) :
570
    (cond_103 && ~cond_14)? (`TRUE) :
571
    (cond_104 && ~cond_14)? (`TRUE) :
572
    (cond_105 && ~cond_14)? (`TRUE) :
573
    (cond_106 && ~cond_14)? (`TRUE) :
574
    (cond_113 && ~cond_114)? (`TRUE) :
575
    (cond_119 && ~cond_4)? (`TRUE) :
576
    (cond_127 && ~cond_22)? (`TRUE) :
577
    (cond_129 && ~cond_22)? (`TRUE) :
578
    (cond_130 && ~cond_22)? (`TRUE) :
579
    (cond_131 && ~cond_22)? (`TRUE) :
580
    (cond_133 && ~cond_4)? (`TRUE) :
581
    (cond_134 && ~cond_4)? (`TRUE) :
582
    (cond_136 && ~cond_4)? (`TRUE) :
583
    (cond_142 && ~cond_4)? (`TRUE) :
584
    (cond_143 && ~cond_4)? (`TRUE) :
585
    1'd0;
586
assign consume_one_three =
587
    (cond_70 && ~cond_4)? (`TRUE) :
588
    1'd0;
589
assign dec_is_8bit =
590
    (cond_0 && ~cond_1 && cond_2)? (`TRUE) :
591
    (cond_3 && ~cond_4)? (`TRUE) :
592
    (cond_16 && ~cond_1 && cond_2)? (`TRUE) :
593
    (cond_17 && ~cond_4 && cond_18)? (`TRUE) :
594
    (cond_24 && ~cond_4 && cond_2)? (`TRUE) :
595
    (cond_27 && ~cond_1 && cond_2)? (`TRUE) :
596
    (cond_42 && ~cond_4)? (`TRUE) :
597
    (cond_43 && ~cond_4)? (`TRUE) :
598
    (cond_53 && ~cond_4 && cond_2)? (`TRUE) :
599
    (cond_54 && ~cond_4 && cond_2)? (`TRUE) :
600
    (cond_55 && ~cond_4 && cond_2)? (`TRUE) :
601
    (cond_57 && ~cond_4 && cond_2)? (`TRUE) :
602
    (cond_58 && ~cond_4 && cond_2)? (`TRUE) :
603
    (cond_68 && ~cond_4)? (`TRUE) :
604
    (cond_69 && ~cond_1 && cond_2)? (`TRUE) :
605
    (cond_71 && ~cond_4 && cond_2)? (`TRUE) :
606
    (cond_78 && ~cond_4 && cond_2)? (`TRUE) :
607
    (cond_79 && ~cond_80 && cond_2)? (`TRUE) :
608
    (cond_81 && ~cond_82 && cond_2)? (`TRUE) :
609
    (cond_83 && ~cond_4 && cond_2)? (`TRUE) :
610
    (cond_84 && ~cond_4)? (`TRUE) :
611
    (cond_85 && ~cond_4 && cond_2)? (`TRUE) :
612
    (cond_86 && ~cond_4 && cond_2)? (`TRUE) :
613
    (cond_87 && ~cond_4 && cond_2)? (`TRUE) :
614
    (cond_90 && ~cond_4 && cond_2)? (`TRUE) :
615
    (cond_92 && ~cond_1 && cond_2)? (`TRUE) :
616
    (cond_99 && ~cond_4 && cond_2)? (`TRUE) :
617
    (cond_102 && ~cond_1 && cond_2)? (`TRUE) :
618
    (cond_107 && ~cond_4 && cond_2)? (`TRUE) :
619
    (cond_108 && ~cond_4 && cond_2)? (`TRUE) :
620
    (cond_109 && ~cond_4 && cond_2)? (`TRUE) :
621
    (cond_111 && ~cond_4 && cond_112)? (`TRUE) :
622
    (cond_115 && ~cond_4 && cond_2)? (`TRUE) :
623
    (cond_116 && ~cond_4 && cond_2)? (`TRUE) :
624
    (cond_117 && ~cond_4 && cond_118)? (`TRUE) :
625
    (cond_119 && ~cond_4 && cond_2)? (`TRUE) :
626
    (cond_120 && ~cond_4 && cond_2)? (`TRUE) :
627
    (cond_132 && ~cond_4 && cond_2)? (`TRUE) :
628
    (cond_133 && ~cond_4 && cond_2)? (`TRUE) :
629
    (cond_134 && ~cond_4 && cond_2)? (`TRUE) :
630
    (cond_137 && ~cond_4)? (`TRUE) :
631
    (cond_138 && ~cond_4)? (`TRUE) :
632
    (cond_139 && ~cond_4)? (`TRUE) :
633
    (cond_140 && ~cond_4)? (`TRUE) :
634
    (cond_141 && ~cond_4)? (`TRUE) :
635
    1'd0;
636
assign dec_cmdex =
637
    (cond_5 && ~cond_4 && cond_6)? ( `CMDEX_CALL_Jv_STEP_0) :
638
    (cond_5 && ~cond_4 && ~cond_6)? ( `CMDEX_CALL_Ap_STEP_0) :
639
    (cond_7 && ~cond_8 && cond_9)? ( `CMDEX_CALL_Ev_STEP_0) :
640
    (cond_7 && ~cond_8 && ~cond_9)? ( `CMDEX_CALL_Ep_STEP_0) :
641
    (cond_10 && ~cond_4)? ( `CMDEX_PUSH_MOV_SEG_implicit | { 1'b0, decoder[5:3] }) :
642
    (cond_11 && ~cond_12)? ( `CMDEX_PUSH_MOV_SEG_modregrm | { 1'b0, decoder[13:11] }) :
643
    (cond_13 && ~cond_14)? ( `CMDEX_PUSH_MOV_SEG_modregrm_LDT) :
644
    (cond_15 && ~cond_14)? ( `CMDEX_PUSH_MOV_SEG_modregrm_TR) :
645
    (cond_20 && ~cond_4)? ( `CMDEX_INVD_STEP_0) :
646
    (cond_21 && ~cond_22)? ( `CMDEX_INVLPG_STEP_0) :
647
    (cond_23 && ~cond_4)? ( `CMDEX_HLT_STEP_0) :
648
    (cond_24 && ~cond_4)? ( `CMDEX_SCAS_STEP_0) :
649
    (cond_26 && ~cond_4)? ( `CMDEX_INC_DEC_increment_implicit | { 3'd0, decoder[3] }) :
650
    (cond_27 && ~cond_1)? ( `CMDEX_INC_DEC_increment_modregrm | { 3'd0, decoder[11] }) :
651
    (cond_28 && ~cond_4 && cond_2)? ( `CMDEX_RET_near_imm) :
652
    (cond_28 && ~cond_4 && ~cond_2)? ( `CMDEX_RET_near) :
653
    (cond_31 && ~cond_22)? ( `CMDEX_LxS_STEP_1) :
654
    (cond_32 && ~cond_33)? ( `CMDEX_MOV_to_seg_LLDT_LTR_STEP_1) :
655
    (cond_34 && ~cond_14)? ( `CMDEX_MOV_to_seg_LLDT_LTR_STEP_1) :
656
    (cond_35 && ~cond_14)? ( `CMDEX_MOV_to_seg_LLDT_LTR_STEP_1) :
657
    (cond_44 && ~cond_4)? ( `CMDEX_POP_seg_STEP_1) :
658
    (cond_45 && ~cond_4 && cond_2)? ( `CMDEX_BTx_modregrm_imm) :
659
    (cond_45 && ~cond_4 && ~cond_2)? ( `CMDEX_BTx_modregrm) :
660
    (cond_46 && ~cond_1 && cond_2)? ( `CMDEX_BTx_modregrm_imm) :
661
    (cond_46 && ~cond_1 && ~cond_2)? ( `CMDEX_BTx_modregrm) :
662
    (cond_47 && ~cond_1 && cond_2)? ( `CMDEX_BTx_modregrm_imm) :
663
    (cond_47 && ~cond_1 && ~cond_2)? ( `CMDEX_BTx_modregrm) :
664
    (cond_48 && ~cond_1 && cond_2)? ( `CMDEX_BTx_modregrm_imm) :
665
    (cond_48 && ~cond_1 && ~cond_2)? ( `CMDEX_BTx_modregrm) :
666
    (cond_49 && ~cond_4 && cond_50)? ( `CMDEX_IRET_real_v86_STEP_0) :
667
    (cond_49 && ~cond_4 && ~cond_50)? ( `CMDEX_IRET_protected_STEP_0) :
668
    (cond_51 && ~cond_4)? ( `CMDEX_POP_implicit) :
669
    (cond_52 && ~cond_4)? ( `CMDEX_POP_modregrm_STEP_0) :
670
    (cond_55 && ~cond_4 && cond_56)? ( `CMDEX_Shift_implicit) :
671
    (cond_55 && ~cond_4 && ~cond_56)? ( `CMDEX_Shift_modregrm) :
672
    (cond_57 && ~cond_4)? ( `CMDEX_Shift_modregrm_imm) :
673
    (cond_58 && ~cond_4)? (`CMDEX_CMPS_FIRST) :
674
    (cond_59 && ~cond_4)? ( `CMDEX_control_reg_SMSW_STEP_0) :
675
    (cond_60 && ~cond_4)? ( `CMDEX_control_reg_LMSW_STEP_0) :
676
    (cond_61 && ~cond_62 && cond_56)? ( `CMDEX_control_reg_MOV_load_STEP_0) :
677
    (cond_61 && ~cond_62 && ~cond_56)? ( `CMDEX_control_reg_MOV_store_STEP_0) :
678
    (cond_63 && ~cond_22)? ( `CMDEX_LGDT_LIDT_STEP_1) :
679
    (cond_64 && ~cond_22)? ( `CMDEX_LGDT_LIDT_STEP_1) :
680
    (cond_65 && ~cond_4)? ( `CMDEX_PUSHA_STEP_0) :
681
    (cond_66 && ~cond_4)? ( `CMDEX_WAIT_STEP_0) :
682
    (cond_67 && ~cond_4)? ( `CMDEX_ESC_STEP_0) :
683
    (cond_70 && ~cond_4)? ( `CMDEX_ENTER_FIRST) :
684
    (cond_71 && ~cond_4)? ( `CMDEX_IMUL_modregrm) :
685
    (cond_72 && ~cond_4)? ( `CMDEX_IMUL_modregrm_imm) :
686
    (cond_74 && ~cond_4 && cond_75)? ( `CMDEX_SHxD_implicit) :
687
    (cond_74 && ~cond_4 && ~cond_75)? ( `CMDEX_SHxD_modregrm_imm) :
688
    (cond_76 && ~cond_4 && cond_75)? ( `CMDEX_SHxD_implicit) :
689
    (cond_76 && ~cond_4 && ~cond_75)? ( `CMDEX_SHxD_modregrm_imm) :
690
    (cond_77 && ~cond_4)? ( `CMDEX_WBINVD_STEP_0) :
691
    (cond_78 && ~cond_4)? ( `CMDEX_Arith_immediate) :
692
    (cond_79 && ~cond_80)? ( `CMDEX_Arith_modregrm) :
693
    (cond_81 && ~cond_82)? ( `CMDEX_Arith_modregrm_imm) :
694
    (cond_84 && ~cond_4)? ( (decoder[1:0] == 2'b00)? `CMDEX_LOOP_NE : (decoder[1:0] == 2'b01)? `CMDEX_LOOP_E : `CMDEX_LOOP) :
695
    (cond_85 && ~cond_4)? ( `CMDEX_TEST_immediate) :
696
    (cond_86 && ~cond_4)? ( `CMDEX_TEST_modregrm) :
697
    (cond_87 && ~cond_4)? ( `CMDEX_TEST_modregrm_imm) :
698
    (cond_88 && ~cond_4)? (`CMDEX_CLTS_STEP_FIRST) :
699
    (cond_89 && ~cond_4)? ( `CMDEX_RET_far_STEP_1) :
700
    (cond_90 && ~cond_4)? ( `CMDEX_LODS_STEP_0) :
701
    (cond_91 && ~cond_4)? ( `CMDEX_XCHG_implicit) :
702
    (cond_92 && ~cond_1)? ( `CMDEX_XCHG_modregrm) :
703
    (cond_93 && ~cond_4)? ( `CMDEX_PUSH_implicit) :
704
    (cond_94 && ~cond_4 && cond_56)? ( `CMDEX_PUSH_immediate_se) :
705
    (cond_94 && ~cond_4 && ~cond_56)? ( `CMDEX_PUSH_immediate) :
706
    (cond_95 && ~cond_4)? ( `CMDEX_PUSH_modregrm) :
707
    (cond_96 && ~cond_4)? ( (decoder[2:0] == 3'b100)? `CMDEX_INT_INTO_INT3_STEP_0 : (decoder[2:0] == 3'b101)? `CMDEX_INT_INTO_INT_STEP_0 : (decoder[2:0] == 3'b110)? `CMDEX_INT_INTO_INTO_STEP_0 : `CMDEX_INT_INTO_INT1_STEP_0) :
708
    (cond_98 && ~cond_4)? ( `CMDEX_CPUID_STEP_LAST) :
709
    (cond_99 && ~cond_4 && cond_100)? ( `CMDEX_IN_dx) :
710
    (cond_99 && ~cond_4 && ~cond_100)? ( `CMDEX_IN_imm) :
711
    (cond_103 && ~cond_14)? ( `CMDEX_LAR_LSL_VERR_VERW_STEP_1) :
712
    (cond_104 && ~cond_14)? ( `CMDEX_LAR_LSL_VERR_VERW_STEP_1) :
713
    (cond_105 && ~cond_14)? (`CMDEX_LAR_LSL_VERR_VERW_STEP_1) :
714
    (cond_106 && ~cond_14)? ( `CMDEX_LAR_LSL_VERR_VERW_STEP_1) :
715
    (cond_107 && ~cond_4)? ( `CMDEX_STOS_STEP_0) :
716
    (cond_108 && ~cond_4)? ( `CMDEX_INS_real_1) :
717
    (cond_109 && ~cond_4)? ( `CMDEX_OUTS_first) :
718
    (cond_111 && ~cond_4 && cond_2)? ( `CMDEX_JMP_Ap_STEP_0) :
719
    (cond_111 && ~cond_4 && ~cond_2)? ( `CMDEX_JMP_Jv_STEP_0) :
720
    (cond_113 && ~cond_114 && cond_9)? ( `CMDEX_JMP_Ev_STEP_0) :
721
    (cond_113 && ~cond_114 && ~cond_9)? ( `CMDEX_JMP_Ep_STEP_0) :
722
    (cond_115 && ~cond_4 && cond_100)? ( `CMDEX_OUT_dx) :
723
    (cond_115 && ~cond_4 && ~cond_100)? ( `CMDEX_OUT_imm) :
724
    (cond_116 && ~cond_4)? ( `CMDEX_MOV_memoffset) :
725
    (cond_117 && ~cond_4)? ( `CMDEX_MOV_immediate) :
726
    (cond_119 && ~cond_4)? ( `CMDEX_MOV_modregrm) :
727
    (cond_120 && ~cond_4)? ( `CMDEX_MOV_modregrm_imm) :
728
    (cond_124 && ~cond_4)? ( `CMDEX_POPF_STEP_0) :
729
    (cond_127 && ~cond_22)? ( `CMDEX_BOUND_STEP_FIRST) :
730
    (cond_128 && ~cond_4)? ( `CMDEX_SALC_STEP_0) :
731
    (cond_130 && ~cond_22)? ( `CMDEX_SGDT_SIDT_STEP_1) :
732
    (cond_131 && ~cond_22)? ( `CMDEX_SGDT_SIDT_STEP_1) :
733
    (cond_132 && ~cond_4)? ( `CMDEX_MOVS_STEP_0) :
734
    (cond_135 && ~cond_4)? ( `CMDEX_POPA_STEP_0) :
735
    (cond_136 && ~cond_4 && cond_56)? ( `CMDEX_debug_reg_MOV_load_STEP_0) :
736
    (cond_136 && ~cond_4 && ~cond_56)? ( `CMDEX_debug_reg_MOV_store_STEP_0) :
737
    4'd0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.