OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [rtl/] [ao486/] [autogen/] [execute_commands.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 alfik
wire exe_jecxz_condition;
2
assign exe_jecxz_condition = (exe_address_16bit)? ecx[15:0] == 16'd0 : ecx == 32'd0;
3
 
4
reg e_invd_code_done;
5
reg e_invd_data_done;
6
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0)       e_invd_code_done <= `FALSE; else if(exe_reset)      e_invd_code_done <= `FALSE; else if(exe_ready)      e_invd_code_done <= `FALSE; else if(invdcode_done)  e_invd_code_done <= `TRUE;
7
end
8
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0)       e_invd_data_done <= `FALSE; else if(exe_reset)      e_invd_data_done <= `FALSE; else if(exe_ready)      e_invd_data_done <= `FALSE; else if(invddata_done)  e_invd_data_done <= `TRUE;
9
end
10
 
11
wire [3:0] e_io_allow_bits;
12
assign e_io_allow_bits = (glob_param_1[2:0] == 3'd0)?  src[3:0] : (glob_param_1[2:0] == 3'd1)?  src[4:1] : (glob_param_1[2:0] == 3'd2)?  src[5:2] : (glob_param_1[2:0] == 3'd3)?  src[6:3] : (glob_param_1[2:0] == 3'd4)?  src[7:4] : (glob_param_1[2:0] == 3'd5)?  src[8:5] : (glob_param_1[2:0] == 3'd6)?  src[9:6] : src[10:7];
13
 
14
wire exe_int_2_int_trap_same_exception;
15
assign exe_int_2_int_trap_same_exception = (v8086_mode && (`DESC_IS_CODE_CONFORMING(exe_descriptor) || exe_descriptor[`DESC_BITS_DPL] != 2'd0));
16
 
17
wire [7:0] e_aad_result;
18
assign e_aad_result = mult_result[7:0] + dst[7:0];
19
 
20
wire [1:0] e_cpl_current;
21
assign e_cpl_current = (glob_param_1[`MC_PARAM_1_FLAG_CPL_FROM_PARAM_3_BIT])? glob_param_3[`SELECTOR_BITS_RPL] : cpl;
22
assign exe_load_seg_gp_fault = exe_cmd == `CMD_load_seg && exe_cmdex == `CMDEX_load_seg_STEP_2 && ( (exe_segment < `SEGMENT_LDT && exe_segment != `SEGMENT_CS) && ( exe_descriptor[`DESC_BIT_SEG] == `FALSE || (exe_segment == `SEGMENT_SS && ( exe_selector[`SELECTOR_BITS_RPL] != e_cpl_current || `DESC_IS_CODE(exe_descriptor) || `DESC_IS_DATA_RO(exe_descriptor) || exe_descriptor[`DESC_BITS_DPL] != e_cpl_current )) || (exe_segment != `SEGMENT_SS && ( `DESC_IS_CODE_EO(exe_descriptor) || ((`DESC_IS_DATA(exe_descriptor) || `DESC_IS_CODE_NON_CONFORMING(exe_descriptor)) && exe_privilege_not_accepted) )) ) || (exe_segment == `SEGMENT_LDT && (exe_descriptor[`DESC_BIT_SEG] || exe_descriptor[`DESC_BITS_TYPE] != `DESC_LDT) ) || (exe_segment == `SEGMENT_TR && (exe_descriptor[`DESC_BIT_SEG] ||  (exe_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_AVAIL_386 && exe_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_AVAIL_286)) ) || (exe_segment == `SEGMENT_CS && ( exe_selector[`SELECTOR_BITS_RPL] < cpl || exe_descriptor[`DESC_BIT_SEG] == `FALSE || `DESC_IS_DATA(exe_descriptor) || (`DESC_IS_CODE_NON_CONFORMING(exe_descriptor) && exe_descriptor[`DESC_BITS_DPL] != exe_selector[`SELECTOR_BITS_RPL]) || (`DESC_IS_CODE_CONFORMING(exe_descriptor) && exe_descriptor[`DESC_BITS_DPL] > exe_selector[`SELECTOR_BITS_RPL]) )) );
23
assign exe_load_seg_ss_fault = exe_cmd == `CMD_load_seg && exe_cmdex == `CMDEX_load_seg_STEP_2 && ~(glob_param_1[`MC_PARAM_1_FLAG_NP_NOT_SS_BIT]) && exe_segment == `SEGMENT_SS && exe_descriptor[`DESC_BIT_P] == `FALSE;
24
assign exe_load_seg_np_fault = exe_cmd == `CMD_load_seg && exe_cmdex == `CMDEX_load_seg_STEP_2 && (glob_param_1[`MC_PARAM_1_FLAG_NP_NOT_SS_BIT] || exe_segment != `SEGMENT_SS) && exe_descriptor[`DESC_BIT_P] == `FALSE;
25
 
26
wire [4:0]  e_bit_selector;
27
wire        e_bit_selected;
28
wire        e_bit_value;
29
wire [31:0] e_bit_result;
30
assign e_bit_selector = (exe_operand_16bit)? { 1'b0, src[3:0] } : src[4:0];
31
assign e_bit_selected =  (e_bit_selector == 5'd0)?     dst[0] : (e_bit_selector == 5'd1)?     dst[1] : (e_bit_selector == 5'd2)?     dst[2] : (e_bit_selector == 5'd3)?     dst[3] : (e_bit_selector == 5'd4)?     dst[4] : (e_bit_selector == 5'd5)?     dst[5] : (e_bit_selector == 5'd6)?     dst[6] : (e_bit_selector == 5'd7)?     dst[7] : (e_bit_selector == 5'd8)?     dst[8] : (e_bit_selector == 5'd9)?     dst[9] : (e_bit_selector == 5'd10)?    dst[10] : (e_bit_selector == 5'd11)?    dst[11] : (e_bit_selector == 5'd12)?    dst[12] : (e_bit_selector == 5'd13)?    dst[13] : (e_bit_selector == 5'd14)?    dst[14] : (e_bit_selector == 5'd15)?    dst[15] : (e_bit_selector == 5'd16)?    dst[16] : (e_bit_selector == 5'd17)?    dst[17] : (e_bit_selector == 5'd18)?    dst[18] : (e_bit_selector == 5'd19)?    dst[19] : (e_bit_selector == 5'd20)?    dst[20] : (e_bit_selector == 5'd21)?    dst[21] : (e_bit_selector == 5'd22)?    dst[22] : (e_bit_selector == 5'd23)?    dst[23] : (e_bit_selector == 5'd24)?    dst[24] : (e_bit_selector == 5'd25)?    dst[25] : (e_bit_selector == 5'd26)?    dst[26] : (e_bit_selector == 5'd27)?    dst[27] : (e_bit_selector == 5'd28)?    dst[28] : (e_bit_selector == 5'd29)?    dst[29] : (e_bit_selector == 5'd30)?    dst[30] : dst[31];
32
assign e_bit_value = (exe_cmd == `CMD_BTC)?     ~e_bit_selected : (exe_cmd == `CMD_BTR)?     1'b0 : 1'b1;
33
assign e_bit_result = (e_bit_selector == 5'd0)?     { dst[31:1],  e_bit_value } : (e_bit_selector == 5'd1)?     { dst[31:2],  e_bit_value, dst[0] } : (e_bit_selector == 5'd2)?     { dst[31:3],  e_bit_value, dst[1:0] } : (e_bit_selector == 5'd3)?     { dst[31:4],  e_bit_value, dst[2:0] } : (e_bit_selector == 5'd4)?     { dst[31:5],  e_bit_value, dst[3:0] } : (e_bit_selector == 5'd5)?     { dst[31:6],  e_bit_value, dst[4:0] } : (e_bit_selector == 5'd6)?     { dst[31:7],  e_bit_value, dst[5:0] } : (e_bit_selector == 5'd7)?     { dst[31:8],  e_bit_value, dst[6:0] } : (e_bit_selector == 5'd8)?     { dst[31:9],  e_bit_value, dst[7:0] } : (e_bit_selector == 5'd9)?     { dst[31:10], e_bit_value, dst[8:0] } : (e_bit_selector == 5'd10)?    { dst[31:11], e_bit_value, dst[9:0] } : (e_bit_selector == 5'd11)?    { dst[31:12], e_bit_value, dst[10:0] } : (e_bit_selector == 5'd12)?    { dst[31:13], e_bit_value, dst[11:0] } : (e_bit_selector == 5'd13)?    { dst[31:14], e_bit_value, dst[12:0] } : (e_bit_selector == 5'd14)?    { dst[31:15], e_bit_value, dst[13:0] } : (e_bit_selector == 5'd15)?    { dst[31:16], e_bit_value, dst[14:0] } : (e_bit_selector == 5'd16)?    { dst[31:17], e_bit_value, dst[15:0] } : (e_bit_selector == 5'd17)?    { dst[31:18], e_bit_value, dst[16:0] } : (e_bit_selector == 5'd18)?    { dst[31:19], e_bit_value, dst[17:0] } : (e_bit_selector == 5'd19)?    { dst[31:20], e_bit_value, dst[18:0] } : (e_bit_selector == 5'd20)?    { dst[31:21], e_bit_value, dst[19:0] } : (e_bit_selector == 5'd21)?    { dst[31:22], e_bit_value, dst[20:0] } : (e_bit_selector == 5'd22)?    { dst[31:23], e_bit_value, dst[21:0] } : (e_bit_selector == 5'd23)?    { dst[31:24], e_bit_value, dst[22:0] } : (e_bit_selector == 5'd24)?    { dst[31:25], e_bit_value, dst[23:0] } : (e_bit_selector == 5'd25)?    { dst[31:26], e_bit_value, dst[24:0] } : (e_bit_selector == 5'd26)?    { dst[31:27], e_bit_value, dst[25:0] } : (e_bit_selector == 5'd27)?    { dst[31:28], e_bit_value, dst[26:0] } : (e_bit_selector == 5'd28)?    { dst[31:29], e_bit_value, dst[27:0] } : (e_bit_selector == 5'd29)?    { dst[31:30], e_bit_value, dst[28:0] } : (e_bit_selector == 5'd30)?    { dst[31],    e_bit_value, dst[29:0] } : { e_bit_value, dst[30:0] };
34
 
35
wire [31:0] e_cr0_reg;
36
assign e_cr0_reg = { cr0_pg, cr0_cd, cr0_nw, 10'b0, cr0_am, 1'b0, cr0_wp, 10'b0, cr0_ne, 1'b1, cr0_ts, cr0_em, cr0_mp, cr0_pe };
37
 
38
wire        e_cmpxchg_eq;
39
wire [32:0] e_cmpxchg_sub;
40
wire [31:0] e_cmpxchg_result;
41
assign e_cmpxchg_eq = (exe_is_8bit       && eax[7:0]  == dst[7:0]) || (exe_operand_16bit && eax[15:0] == dst[15:0]) || (exe_operand_32bit && eax[31:0] == dst[31:0]);
42
assign e_cmpxchg_sub = eax - dst;
43
assign e_cmpxchg_result = (e_cmpxchg_eq)? src : e_cmpxchg_sub[31:0];
44
 
45
reg e_wbinvd_code_done;
46
reg e_wbinvd_data_done;
47
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0)       e_wbinvd_code_done <= `FALSE; else if(exe_reset)      e_wbinvd_code_done <= `FALSE; else if(exe_ready)      e_wbinvd_code_done <= `FALSE; else if(invdcode_done)  e_wbinvd_code_done <= `TRUE;
48
end
49
always @(posedge clk or negedge rst_n) begin if(rst_n == 1'b0)         e_wbinvd_data_done <= `FALSE; else if(exe_reset)        e_wbinvd_data_done <= `FALSE; else if(exe_ready)        e_wbinvd_data_done <= `FALSE; else if(wbinvddata_done)  e_wbinvd_data_done <= `TRUE;
50
end
51
 
52
wire exe_cmd_loop_ecx;
53
wire exe_cmd_loop_condition;
54
assign exe_cmd_loop_ecx = (exe_address_16bit)? ecx[15:0] != 16'd1 : ecx != 32'd1;
55
assign exe_cmd_loop_condition = (exe_cmdex == `CMDEX_LOOP_NE)?  exe_cmd_loop_ecx && zflag == `FALSE : (exe_cmdex == `CMDEX_LOOP_E)?   exe_cmd_loop_ecx && zflag == `TRUE : exe_cmd_loop_ecx;
56
 
57
wire exe_cmd_lar_desc_invalid;
58
wire exe_cmd_lsl_desc_invalid;
59
wire exe_cmd_verr_desc_invalid;
60
wire exe_cmd_verw_desc_invalid;
61
assign exe_cmd_lar_desc_invalid = (~(exe_descriptor[`DESC_BIT_SEG]) && (exe_descriptor[`DESC_BITS_TYPE] == 4'd0  || exe_descriptor[`DESC_BITS_TYPE] == 4'd8 || exe_descriptor[`DESC_BITS_TYPE] == 4'd10 || exe_descriptor[`DESC_BITS_TYPE] == 4'd13) ) || (exe_descriptor[`DESC_BIT_SEG] && (`DESC_IS_DATA(exe_descriptor) || `DESC_IS_CODE_NON_CONFORMING(exe_descriptor)) && exe_privilege_not_accepted ) || (~(exe_descriptor[`DESC_BIT_SEG]) && (exe_descriptor[`DESC_BITS_TYPE] == `DESC_INTERRUPT_GATE_386 || exe_descriptor[`DESC_BITS_TYPE] == `DESC_INTERRUPT_GATE_286 || exe_descriptor[`DESC_BITS_TYPE] == `DESC_TRAP_GATE_386      || exe_descriptor[`DESC_BITS_TYPE] == `DESC_TRAP_GATE_286) ) || (~(exe_descriptor[`DESC_BIT_SEG]) && exe_privilege_not_accepted );
62
assign exe_cmd_lsl_desc_invalid = (~(exe_descriptor[`DESC_BIT_SEG]) && (exe_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_AVAIL_386 && exe_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_BUSY_386 && exe_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_AVAIL_286 && exe_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_BUSY_286 && exe_descriptor[`DESC_BITS_TYPE] != `DESC_LDT) ) || (~(exe_descriptor[`DESC_BIT_SEG]) && exe_privilege_not_accepted ) || (exe_descriptor[`DESC_BIT_SEG] && (`DESC_IS_DATA(exe_descriptor) || `DESC_IS_CODE_NON_CONFORMING(exe_descriptor)) && exe_privilege_not_accepted );
63
assign exe_cmd_verr_desc_invalid = (~(exe_descriptor[`DESC_BIT_SEG]) ) || (`DESC_IS_CODE_EO(exe_descriptor) || (`DESC_IS_CODE_NON_CONFORMING(exe_descriptor) && exe_privilege_not_accepted) ) || (`DESC_IS_DATA(exe_descriptor) && exe_privilege_not_accepted );
64
assign exe_cmd_verw_desc_invalid = (~(exe_descriptor[`DESC_BIT_SEG]) ) || (`DESC_IS_CODE(exe_descriptor) ) || (`DESC_IS_DATA_RO(exe_descriptor) || exe_privilege_not_accepted );
65
 
66
wire signed [31:0] e_bound_min;
67
wire signed [31:0] e_bound_max;
68
wire signed [31:0] e_bound_dst;
69
assign e_bound_min = (exe_operand_16bit)? { {16{exe_buffer[15]}}, exe_buffer[15:0] } : exe_buffer;
70
assign e_bound_max = (exe_operand_16bit)? { {16{src[15]}},        src[15:0] }        : src;
71
assign e_bound_dst = (exe_operand_16bit)? { {16{dst[15]}},        dst[15:0] }        : dst;
72
assign exe_bound_fault = exe_cmd == `CMD_BOUND && exe_cmdex == `CMDEX_BOUND_STEP_LAST && (e_bound_dst < e_bound_min || e_bound_dst > e_bound_max);
73
 
74
wire [31:0] exe_new_tss_max;
75
assign exe_new_tss_max = (glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? 32'h2B : 32'h67;
76
 
77
wire e_bcd_condition_cf;
78
wire exe_bcd_condition_af;
79
wire exe_bcd_condition_cf;
80
wire [15:0] e_aaa_sum_ax;
81
wire [15:0] e_aaa_result;
82
wire [15:0] e_aas_sub_ax;
83
wire [15:0] e_aas_result;
84
wire [7:0]  e_daa_sum_low;
85
wire [7:0]  e_daa_step1;
86
wire [7:0]  e_daa_sum_high;
87
wire [7:0]  e_daa_result;
88
wire [7:0]  e_das_sub_low;
89
wire [7:0]  e_das_step1;
90
wire [7:0]  e_das_sub_high;
91
wire [7:0]  e_das_result;
92
assign e_bcd_condition_cf = (dst[7:0] > 8'h99 || cflag);
93
assign exe_bcd_condition_af = dst[3:0] > 4'd9 || aflag;
94
assign exe_bcd_condition_cf = e_bcd_condition_cf || (exe_bcd_condition_af && (cflag || (exe_cmd == `CMD_DAA)? dst[7:0] > 8'hF9 : dst[7:0] < 8'h06));
95
assign e_aaa_sum_ax = dst[15:0] + 16'h0106;
96
assign e_aaa_result = (exe_bcd_condition_af)? { e_aaa_sum_ax[15:8], 4'b0, e_aaa_sum_ax[3:0] } : { dst[15:8], 4'd0, dst[3:0] };
97
assign e_aas_sub_ax = dst[15:0] - 16'h0106;
98
assign e_aas_result = (exe_bcd_condition_af)? { e_aas_sub_ax[15:8], 4'b0, e_aas_sub_ax[3:0] } : { dst[15:8], 4'b0, dst[3:0] };
99
assign e_daa_sum_low  = dst[7:0] + 8'h06;
100
assign e_daa_step1    = (exe_bcd_condition_af)? e_daa_sum_low : dst[7:0];
101
assign e_daa_sum_high = e_daa_step1 + 8'h60;
102
assign e_daa_result   = (e_bcd_condition_cf)? e_daa_sum_high : e_daa_step1;
103
assign e_das_sub_low  = dst[7:0] - 8'h06;
104
assign e_das_step1    = (exe_bcd_condition_af)? e_das_sub_low : dst[7:0];
105
assign e_das_sub_high = e_das_step1 - 8'h60;
106
assign e_das_result   = (e_bcd_condition_cf)? e_das_sub_high : e_das_step1;
107
 
108
wire [4:0]  e_bit_scan_forward;
109
wire        e_bit_scan_zero;
110
wire [31:0] e_src_ze;
111
wire [4:0]  e_bit_scan_reverse;
112
assign e_bit_scan_forward = (src[0])?  5'd0 : (src[1])?  5'd1 : (src[2])?  5'd2 : (src[3])?  5'd3 : (src[4])?  5'd4 : (src[5])?  5'd5 : (src[6])?  5'd6 : (src[7])?  5'd7 : (src[8])?  5'd8 : (src[9])?  5'd9 : (src[10])? 5'd10 : (src[11])? 5'd11 : (src[12])? 5'd12 : (src[13])? 5'd13 : (src[14])? 5'd14 : (src[15])? 5'd15 : (src[16])? 5'd16 : (src[17])? 5'd17 : (src[18])? 5'd18 : (src[19])? 5'd19 : (src[20])? 5'd20 : (src[21])? 5'd21 : (src[22])? 5'd22 : (src[23])? 5'd23 : (src[24])? 5'd24 : (src[25])? 5'd25 : (src[26])? 5'd26 : (src[27])? 5'd27 : (src[28])? 5'd28 : (src[29])? 5'd29 : (src[30])? 5'd30 : (src[31])? 5'd31 : 5'd0;
113
assign e_src_ze = (exe_operand_16bit)? { 16'd0, src[15:0] } : src;
114
assign e_bit_scan_reverse = (e_src_ze[31])? 5'd31 : (e_src_ze[30])? 5'd30 : (e_src_ze[29])? 5'd29 : (e_src_ze[28])? 5'd28 : (e_src_ze[27])? 5'd27 : (e_src_ze[26])? 5'd26 : (e_src_ze[25])? 5'd25 : (e_src_ze[24])? 5'd24 : (e_src_ze[23])? 5'd23 : (e_src_ze[22])? 5'd22 : (e_src_ze[21])? 5'd21 : (e_src_ze[20])? 5'd20 : (e_src_ze[19])? 5'd19 : (e_src_ze[18])? 5'd18 : (e_src_ze[17])? 5'd17 : (e_src_ze[16])? 5'd16 : (e_src_ze[15])? 5'd15 : (e_src_ze[14])? 5'd14 : (e_src_ze[13])? 5'd13 : (e_src_ze[12])? 5'd12 : (e_src_ze[11])? 5'd11 : (e_src_ze[10])? 5'd10 : (e_src_ze[9])?  5'd9 : (e_src_ze[8])?  5'd8 : (e_src_ze[7])?  5'd7 : (e_src_ze[6])?  5'd6 : (e_src_ze[5])?  5'd5 : (e_src_ze[4])?  5'd4 : (e_src_ze[3])?  5'd3 : (e_src_ze[2])?  5'd2 : (e_src_ze[1])?  5'd1 : (e_src_ze[0])?  5'd0 : 5'd0;
115
assign e_bit_scan_zero = (exe_operand_16bit)? src[15:0] == 16'd0 : src[31:0] == 32'd0;
116
 
117
//======================================================== conditions
118
wire cond_0 = exe_cmd == `CMD_XADD && exe_cmdex == `CMDEX_XADD_FIRST;
119
wire cond_1 = exe_cmd == `CMD_XADD && exe_cmdex == `CMDEX_XADD_LAST;
120
wire cond_2 = exe_cmd == `CMD_JCXZ;
121
wire cond_3 = exe_mutex_current[`MUTEX_ECX_BIT];
122
wire cond_4 = exe_jecxz_condition && exe_branch_eip > cs_limit;
123
wire cond_5 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_protected_STEP_1;
124
wire cond_6 = exe_cmd == `CMD_CALL_2  && exe_cmdex == `CMDEX_CALL_2_protected_seg_STEP_3;
125
wire cond_7 = glob_param_2 > glob_desc_limit;
126
wire cond_8 = exe_cmd == `CMD_CALL && (exe_cmdex == `CMDEX_CALL_Ev_STEP_0 || exe_cmdex == `CMDEX_CALL_Ep_STEP_0 || exe_cmdex == `CMDEX_CALL_Ap_STEP_0);
127
wire cond_9 = exe_cmdex == `CMDEX_CALL_Ev_STEP_0;
128
wire cond_10 = exe_mutex_current[`MUTEX_ESP_BIT];
129
wire cond_11 = exe_operand_32bit;
130
wire cond_12 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_Jv_STEP_0;
131
wire cond_13 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_Ev_Jv_STEP_1;
132
wire cond_14 = glob_param_2 > cs_limit;
133
wire cond_15 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_Ep_STEP_1;
134
wire cond_16 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_Ap_STEP_1;
135
wire cond_17 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_real_v8086_STEP_0;
136
wire cond_18 = exe_operand_32bit && glob_param_2 > cs_limit;
137
wire cond_19 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_real_v8086_STEP_1;
138
wire cond_20 = exe_mutex_current[`MUTEX_ACTIVE_BIT];
139
wire cond_21 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_real_v8086_STEP_2;
140
wire cond_22 = exe_operand_16bit && glob_param_2 > cs_limit;
141
wire cond_23 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_real_v8086_STEP_3;
142
wire cond_24 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_protected_seg_STEP_0;
143
wire cond_25 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_protected_seg_STEP_1;
144
wire cond_26 = exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_protected_seg_STEP_2;
145
wire cond_27 = exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_protected_seg_STEP_4;
146
wire cond_28 = exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_call_gate_STEP_0;
147
wire cond_29 = exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_call_gate_same_STEP_0;
148
wire cond_30 = exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_call_gate_same_STEP_1;
149
wire cond_31 = exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_call_gate_same_STEP_2;
150
wire cond_32 = exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_protected_seg_STEP_3;
151
wire cond_33 = exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_call_gate_same_STEP_3;
152
wire cond_34 = exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_1;
153
wire cond_35 = glob_param_3[15:2] == 14'd0;
154
wire cond_36 = exe_ready;
155
wire cond_37 = exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_3;
156
wire cond_38 = ss_cache[`DESC_BIT_D_B];
157
wire cond_39 = exe_cmd == `CMD_CALL_3 && (exe_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_4 || exe_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_5);
158
wire cond_40 = exe_cmd == `CMD_CALL_3 && exe_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_6;
159
wire cond_41 = exe_cmd == `CMD_CALL_3 && exe_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_7;
160
wire cond_42 = glob_descriptor_2[`DESC_BIT_D_B];
161
wire cond_43 = exe_cmd == `CMD_CALL_3 && exe_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_8;
162
wire cond_44 = glob_param_2 > glob_desc_2_limit;
163
wire cond_45 = exe_cmd == `CMD_CALL_3 && exe_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_9;
164
wire cond_46 = exe_cmd == `CMD_CALL_3 && exe_cmdex == `CMDEX_CALL_3_call_gate_more_STEP_10;
165
wire cond_47 = exe_cmd == `CMD_PUSH_MOV_SEG && { exe_cmdex[3], 3'b0 } == `CMDEX_PUSH_MOV_SEG_implicit;
166
wire cond_48 = exe_cmd == `CMD_PUSH_MOV_SEG && { exe_cmdex[3], 3'b0 } == `CMDEX_PUSH_MOV_SEG_modregrm;
167
wire cond_49 = exe_cmd == `CMD_NEG;
168
wire cond_50 = exe_cmd == `CMD_Jcc;
169
wire cond_51 = exe_mutex_current[`MUTEX_EFLAGS_BIT];
170
wire cond_52 = exe_condition && exe_branch_eip > cs_limit;
171
wire cond_53 = exe_cmd == `CMD_INVD && exe_cmdex == `CMDEX_INVD_STEP_0;
172
wire cond_54 = cpl > 2'd0;
173
wire cond_55 = exe_cmd == `CMD_INVD && exe_cmdex == `CMDEX_INVD_STEP_1;
174
wire cond_56 = ~(e_invd_code_done && e_invd_data_done);
175
wire cond_57 = exe_cmd == `CMD_INVLPG && exe_cmdex == `CMDEX_INVLPG_STEP_0;
176
wire cond_58 = exe_cmd == `CMD_INVLPG && exe_cmdex == `CMDEX_INVLPG_STEP_1;
177
wire cond_59 = ~(tlbflushsingle_done);
178
wire cond_60 = exe_cmd == `CMD_io_allow && exe_cmdex == `CMDEX_io_allow_2;
179
wire cond_61 = (  exe_is_8bit                       && e_io_allow_bits[0]   != 1'd0) || (~(exe_is_8bit) && exe_operand_16bit && e_io_allow_bits[1:0] != 2'd0) || (~(exe_is_8bit) && exe_operand_32bit && e_io_allow_bits[3:0] != 4'd0);
180
wire cond_62 = exe_cmd == `CMD_HLT && exe_cmdex == `CMDEX_HLT_STEP_0;
181
wire cond_63 = exe_cmd == `CMD_SCAS;
182
wire cond_64 = exe_cmd == `CMD_INC_DEC;
183
wire cond_65 = exe_cmd == `CMD_RET_near && exe_cmdex != `CMDEX_RET_near_LAST;
184
wire cond_66 = exe_cmdex == `CMDEX_RET_near;
185
wire cond_67 = exe_cmdex == `CMDEX_RET_near_imm;
186
wire cond_68 = exe_cmd == `CMD_ARPL;
187
wire cond_69 = exe_cmd == `CMD_BSWAP;
188
wire cond_70 = exe_cmd == `CMD_LxS;
189
wire cond_71 = (exe_cmd == `CMD_LLDT || exe_cmd == `CMD_LTR) && exe_cmdex == `CMDEX_MOV_to_seg_LLDT_LTR_STEP_1;
190
wire cond_72 = cpl != 2'd0;
191
wire cond_73 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_int_trap_gate_STEP_0;
192
wire cond_74 = exe_cmd == `CMD_int_2 && exe_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_1;
193
wire cond_75 = v8086_mode && exe_descriptor[`DESC_BITS_DPL] != 2'd0;
194
wire cond_76 = ~(exe_trigger_gp_fault) && glob_param_3[15:2] == 14'd0;
195
wire cond_77 = exe_cmd == `CMD_int_2 && exe_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_3;
196
wire cond_78 = exe_cmd == `CMD_int_2 && exe_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_5;
197
wire cond_79 = exe_cmd == `CMD_int_3 && (exe_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_4 || exe_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_5);
198
wire cond_80 = ~(exe_mutex_current[`MUTEX_ACTIVE_BIT]) && exe_ready;
199
wire cond_81 = exe_cmd == `CMD_int_3 && exe_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_6;
200
wire cond_82 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_STEP_0;
201
wire cond_83 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_STEP_1;
202
wire cond_84 = exc_soft_int_ib && v8086_mode && iopl < 2'd3;
203
wire cond_85 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_real_STEP_0;
204
wire cond_86 = { 6'd0, exc_vector[7:0], 2'b11 } > idtr_limit;
205
wire cond_87 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_real_STEP_1;
206
wire cond_88 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_real_STEP_2;
207
wire cond_89 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_real_STEP_3;
208
wire cond_90 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_real_STEP_5;
209
wire cond_91 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_protected_STEP_0;
210
wire cond_92 = { 5'd0, exc_vector[7:0], 3'b111 } > idtr_limit;
211
wire cond_93 = exe_cmd == `CMD_int && exe_cmdex == `CMDEX_int_protected_STEP_1;
212
wire cond_94 = exe_descriptor[`DESC_BIT_SEG] || ( (   exe_descriptor[`DESC_BITS_TYPE] != `DESC_TASK_GATE && exe_descriptor[`DESC_BITS_TYPE] != `DESC_INTERRUPT_GATE_386 && exe_descriptor[`DESC_BITS_TYPE] != `DESC_INTERRUPT_GATE_286 && exe_descriptor[`DESC_BITS_TYPE] != `DESC_TRAP_GATE_386      && exe_descriptor[`DESC_BITS_TYPE] != `DESC_TRAP_GATE_286 ) || (exc_soft_int && exe_descriptor[`DESC_BITS_DPL] < cpl) );
213
wire cond_95 = ~(exe_trigger_gp_fault) && exe_descriptor[`DESC_BIT_P] == `FALSE;
214
wire cond_96 = exe_cmd == `CMD_int_2 && exe_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_0;
215
wire cond_97 = exe_int_2_int_trap_same_exception || (glob_param_2 > glob_desc_limit);
216
wire cond_98 = exe_cmd == `CMD_int_2 && exe_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_1;
217
wire cond_99 = exe_cmd == `CMD_int_2 && exe_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_2;
218
wire cond_100 = exe_cmd == `CMD_int_2 && exe_cmdex == `CMDEX_int_2_int_trap_gate_same_STEP_3;
219
wire cond_101 = exe_cmd == `CMD_int_2  && exe_cmdex >= `CMDEX_int_2_int_trap_gate_more_STEP_4;
220
wire cond_102 = exe_cmd == `CMD_int_3  && exe_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_0;
221
wire cond_103 = exe_cmd == `CMD_int_3  && exe_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_1;
222
wire cond_104 = exe_cmd == `CMD_int_3  && exe_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_2;
223
wire cond_105 = exe_cmd == `CMD_int_3  && exe_cmdex == `CMDEX_int_3_int_trap_gate_more_STEP_3;
224
wire cond_106 = exe_cmd == `CMD_AAD;
225
wire cond_107 = mult_busy;
226
wire cond_108 = exe_cmd == `CMD_AAM;
227
wire cond_109 = exe_div_exception || div_busy;
228
wire cond_110 = exe_cmd == `CMD_load_seg && exe_cmdex == `CMDEX_load_seg_STEP_1;
229
wire cond_111 = protected_mode;
230
wire cond_112 = ((glob_param_1[18:16] == `SEGMENT_SS || glob_param_1[18:16] == `SEGMENT_TR || glob_param_1[18:16] == `SEGMENT_CS) && glob_param_1[15:2] == 14'd0) ||  ((glob_param_1[18:16] == `SEGMENT_LDT || glob_param_1[18:16] == `SEGMENT_TR) && glob_param_1[`SELECTOR_BIT_TI] == 1'b1);
231
wire cond_113 = exe_cmd == `CMD_load_seg && exe_cmdex == `CMDEX_load_seg_STEP_2;
232
wire cond_114 = ~(protected_mode && glob_param_1[15:2] == 14'd0);
233
wire cond_115 = exe_load_seg_gp_fault || exe_load_seg_ss_fault || exe_load_seg_np_fault;
234
wire cond_116 = exe_cmd == `CMD_POP_seg;
235
wire cond_117 = { exe_cmd[6:2], 2'd0 } == `CMD_BTx;
236
wire cond_118 = exe_cmd == `CMD_IRET_2 && exe_cmdex == `CMDEX_IRET_2_protected_same_STEP_0;
237
wire cond_119 = exe_cmd == `CMD_IRET_2 && exe_cmdex == `CMDEX_IRET_2_protected_same_STEP_1;
238
wire cond_120 = exe_cmd == `CMD_IRET_2  && exe_cmdex == `CMDEX_IRET_2_protected_outer_STEP_3;
239
wire cond_121 = exe_cmd == `CMD_IRET_2  && exe_cmdex == `CMDEX_IRET_2_protected_outer_STEP_5;
240
wire cond_122 = exe_cmd == `CMD_IRET_2 && exe_cmdex == `CMDEX_IRET_2_protected_outer_STEP_6;
241
wire cond_123 = exe_cmd == `CMD_IRET && exe_cmdex == `CMDEX_IRET_real_v86_STEP_0;
242
wire cond_124 = v8086_mode && iopl < 2'd3;
243
wire cond_125 = exe_cmd == `CMD_IRET && exe_cmdex == `CMDEX_IRET_real_v86_STEP_1;
244
wire cond_126 = exe_cmd == `CMD_IRET && exe_cmdex == `CMDEX_IRET_real_v86_STEP_2;
245
wire cond_127 = ~(v8086_mode) && glob_param_2 > cs_limit;
246
wire cond_128 = exe_cmd == `CMD_IRET && exe_cmdex == `CMDEX_IRET_real_v86_STEP_3;
247
wire cond_129 = exe_cmd == `CMD_IRET && exe_cmdex == `CMDEX_IRET_task_switch_STEP_0;
248
wire cond_130 = glob_param_1[`SELECTOR_BIT_TI];
249
wire cond_131 = exe_cmd == `CMD_IRET && exe_cmdex == `CMDEX_IRET_task_switch_STEP_1;
250
wire cond_132 = glob_param_2[1] || exe_descriptor[`DESC_BIT_SEG] || (exe_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_BUSY_386 && exe_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_BUSY_286);
251
wire cond_133 = glob_param_2[1] == 1'b0 && ~(exe_trigger_ts_fault) && ~(exe_descriptor[`DESC_BIT_P]);
252
wire cond_134 = exe_cmd == `CMD_IRET && exe_cmdex >= `CMDEX_IRET_protected_to_v86_STEP_0;
253
wire cond_135 = exe_cmd == `CMD_IRET_2 && exe_cmdex == `CMDEX_IRET_2_protected_to_v86_STEP_6;
254
wire cond_136 = exe_cmd == `CMD_IRET_2 && exe_cmdex == `CMDEX_IRET_2_protected_outer_STEP_0;
255
wire cond_137 = glob_param_1[`SELECTOR_BITS_RPL] != glob_param_3[`SELECTOR_BITS_RPL];
256
wire cond_138 = exe_cmd == `CMD_POP && exe_cmdex == `CMDEX_POP_implicit;
257
wire cond_139 = exe_cmd == `CMD_POP && exe_cmdex == `CMDEX_POP_modregrm_STEP_0;
258
wire cond_140 = exe_cmd == `CMD_POP && exe_cmdex == `CMDEX_POP_modregrm_STEP_1;
259
wire cond_141 = exe_cmd == `CMD_IDIV || exe_cmd == `CMD_DIV;
260
wire cond_142 = exe_cmd == `CMD_Shift && exe_decoder[13:12] == 2'b01;
261
wire cond_143 = exe_cmd == `CMD_Shift && exe_decoder[13:12] != 2'b01;
262
wire cond_144 = exe_cmd == `CMD_CMPS;
263
wire cond_145 = exe_cmd == `CMD_control_reg && exe_cmdex == `CMDEX_control_reg_LMSW_STEP_0;
264
wire cond_146 = exe_cmd == `CMD_control_reg && exe_cmdex == `CMDEX_control_reg_MOV_store_STEP_0;
265
wire cond_147 = exe_modregrm_reg == 3'd0;
266
wire cond_148 = exe_modregrm_reg == 3'd2;
267
wire cond_149 = exe_modregrm_reg == 3'd3;
268
wire cond_150 = exe_cmd == `CMD_control_reg && exe_cmdex == `CMDEX_control_reg_MOV_load_STEP_0;
269
wire cond_151 = cpl > 2'd0 || (exe_modregrm_reg == 3'd0 && ((src[31] && ~(src[0])) || (src[29] && ~(src[30]))));
270
wire cond_152 = exe_cmd == `CMD_control_reg && exe_cmdex == `CMDEX_control_reg_SMSW_STEP_0;
271
wire cond_153 = exe_cmd == `CMD_LGDT || exe_cmd == `CMD_LIDT;
272
wire cond_154 = exe_cmd == `CMD_PUSHA;
273
wire cond_155 = exe_cmdex[2:0] == 3'd4;
274
wire cond_156 = exe_cmd == `CMD_fpu && exe_cmdex == `CMDEX_ESC_STEP_0;
275
wire cond_157 = cr0_em || cr0_ts;
276
wire cond_158 = exe_cmd == `CMD_SETcc;
277
wire cond_159 = exe_condition;
278
wire cond_160 = exe_cmd == `CMD_CMPXCHG;
279
wire cond_161 = exe_mutex_current[`MUTEX_EAX_BIT];
280
wire cond_162 = exe_cmd == `CMD_ENTER && exe_cmdex == `CMDEX_ENTER_FIRST;
281
wire cond_163 = exe_cmd == `CMD_ENTER && exe_cmdex == `CMDEX_ENTER_LAST;
282
wire cond_164 = exe_cmd == `CMD_ENTER && (exe_cmdex == `CMDEX_ENTER_PUSH || exe_cmdex == `CMDEX_ENTER_LOOP);
283
wire cond_165 = exe_cmdex == `CMDEX_ENTER_PUSH;
284
wire cond_166 = exe_cmd == `CMD_IMUL;
285
wire cond_167 = exe_cmd == `CMD_LEAVE;
286
wire cond_168 = { exe_cmd[6:1], 1'd0 } == `CMD_SHxD;
287
wire cond_169 = exe_cmd == `CMD_WBINVD && exe_cmdex == `CMDEX_WBINVD_STEP_0;
288
wire cond_170 = exe_cmd == `CMD_WBINVD && exe_cmdex == `CMDEX_WBINVD_STEP_1;
289
wire cond_171 = ~(e_wbinvd_code_done && e_wbinvd_data_done);
290
wire cond_172 = { exe_cmd[6:3], 3'd0 } == `CMD_Arith;
291
wire cond_173 = exe_cmd[2:1] == 2'b01 && exe_mutex_current[`MUTEX_EFLAGS_BIT];
292
wire cond_174 = exe_cmd == `CMD_MUL;
293
wire cond_175 = exe_cmd == `CMD_LOOP;
294
wire cond_176 = exe_mutex_current[`MUTEX_ECX_BIT] || (exe_mutex_current[`MUTEX_EFLAGS_BIT] && (exe_cmdex == `CMDEX_LOOP_NE || exe_cmdex == `CMDEX_LOOP_E));
295
wire cond_177 = exe_cmd_loop_condition && exe_branch_eip > cs_limit;
296
wire cond_178 = exe_cmd == `CMD_TEST;
297
wire cond_179 = exe_cmd == `CMD_CLTS;
298
wire cond_180 = exe_cmd == `CMD_RET_far  && exe_cmdex == `CMDEX_RET_far_STEP_1;
299
wire cond_181 = exe_cmd == `CMD_RET_far && exe_cmdex == `CMDEX_RET_far_STEP_2;
300
wire cond_182 = (v8086_mode || real_mode) && glob_param_2 > cs_limit;
301
wire cond_183 = exe_cmd == `CMD_RET_far && exe_cmdex == `CMDEX_RET_far_same_STEP_3;
302
wire cond_184 = exe_cmd == `CMD_RET_far && exe_cmdex == `CMDEX_RET_far_outer_STEP_5;
303
wire cond_185 = exe_cmd == `CMD_RET_far && exe_cmdex == `CMDEX_RET_far_outer_STEP_6;
304
wire cond_186 = exe_cmd == `CMD_RET_far && exe_cmdex == `CMDEX_RET_far_outer_STEP_7;
305
wire cond_187 = exe_cmd == `CMD_RET_far && (exe_cmdex == `CMDEX_RET_far_real_STEP_3 || exe_cmdex == `CMDEX_RET_far_same_STEP_4);
306
wire cond_188 = exe_cmdex == `CMDEX_RET_far_real_STEP_3;
307
wire cond_189 = exe_cmd == `CMD_LODS;
308
wire cond_190 = exe_cmd == `CMD_XCHG && exe_cmdex == `CMDEX_XCHG_implicit;
309
wire cond_191 = exe_cmd == `CMD_XCHG && exe_cmdex == `CMDEX_XCHG_modregrm;
310
wire cond_192 = exe_cmd == `CMD_XCHG && exe_cmdex == `CMDEX_XCHG_modregrm_LAST;
311
wire cond_193 = exe_cmd == `CMD_PUSH;
312
wire cond_194 = exe_cmdex == `CMDEX_PUSH_immediate_se;
313
wire cond_195 = exe_cmd == `CMD_IN && exe_cmdex == `CMDEX_IN_protected;
314
wire cond_196 = exe_cmd == `CMD_IN && (exe_cmdex == `CMDEX_IN_dx || exe_cmdex == `CMDEX_IN_imm);
315
wire cond_197 = exe_cmdex == `CMDEX_IN_dx && exe_mutex_current[`MUTEX_EDX_BIT];
316
wire cond_198 = exe_cmd == `CMD_NOT;
317
wire cond_199 = (exe_cmd == `CMD_LAR || exe_cmd == `CMD_LSL) && exe_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_LAST;
318
wire cond_200 = (exe_cmd == `CMD_LAR || exe_cmd == `CMD_LSL || exe_cmd == `CMD_VERR || exe_cmd == `CMD_VERW) && exe_cmdex == `CMDEX_LAR_LSL_VERR_VERW_STEP_2;
319
wire cond_201 = (exe_cmd == `CMD_CALL && exe_cmdex == `CMDEX_CALL_protected_STEP_0) || (exe_cmd == `CMD_JMP  && exe_cmdex == `CMDEX_JMP_protected_STEP_0);
320
wire cond_202 = glob_param_1[15:2] == 14'd0 || (exe_descriptor[`DESC_BIT_SEG] == `FALSE && ( exe_descriptor[`DESC_BITS_DPL] < cpl || exe_descriptor[`DESC_BITS_DPL] < exe_selector[`SELECTOR_BITS_RPL] || ((exe_descriptor[`DESC_BITS_TYPE] == 4'd1 || exe_descriptor[`DESC_BITS_TYPE] == 4'd9) && exe_selector[`SELECTOR_BIT_TI]) ||  exe_descriptor[`DESC_BITS_TYPE] == 4'd0  || exe_descriptor[`DESC_BITS_TYPE] == 4'd8  || exe_descriptor[`DESC_BITS_TYPE] == 4'd10 || exe_descriptor[`DESC_BITS_TYPE] == 4'd13 ||  exe_descriptor[`DESC_BITS_TYPE] == 4'd2  || exe_descriptor[`DESC_BITS_TYPE] == 4'd3  || exe_descriptor[`DESC_BITS_TYPE] == 4'd6  || exe_descriptor[`DESC_BITS_TYPE] == 4'd7  || exe_descriptor[`DESC_BITS_TYPE] == 4'd11 || exe_descriptor[`DESC_BITS_TYPE] == 4'd14 || exe_descriptor[`DESC_BITS_TYPE] == 4'd15)  ) || (exe_descriptor[`DESC_BIT_SEG] && ( `DESC_IS_DATA(exe_descriptor) || (`DESC_IS_CODE_NON_CONFORMING(exe_descriptor) && (exe_descriptor[`DESC_BITS_DPL] != cpl || exe_selector[`SELECTOR_BITS_RPL] > cpl)) || (`DESC_IS_CODE_CONFORMING(exe_descriptor)     &&  exe_descriptor[`DESC_BITS_DPL] > cpl))  ) ;
321
wire cond_203 = ~(exe_trigger_gp_fault) && exe_descriptor[`DESC_BIT_P] == `FALSE &&  (exe_descriptor[`DESC_BIT_SEG] || exe_descriptor[`DESC_BITS_TYPE] == 4'd1 || exe_descriptor[`DESC_BITS_TYPE] == 4'd9 ||  exe_descriptor[`DESC_BITS_TYPE] == 4'd4 || exe_descriptor[`DESC_BITS_TYPE] == 4'd12 ||  exe_descriptor[`DESC_BITS_TYPE] == 4'd5)  ;
322
wire cond_204 = (exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_task_switch_STEP_0) || (exe_cmd == `CMD_JMP    && exe_cmdex == `CMDEX_JMP_task_switch_STEP_0);
323
wire cond_205 = exe_cmd == `CMD_CALL_2;
324
wire cond_206 = exe_cmd == `CMD_JMP;
325
wire cond_207 = (exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_task_gate_STEP_1) || (exe_cmd == `CMD_JMP    && exe_cmdex == `CMDEX_JMP_task_gate_STEP_1) || (exe_cmd == `CMD_int    && exe_cmdex == `CMDEX_int_task_gate_STEP_1);
326
wire cond_208 = glob_param_1[`SELECTOR_BIT_TI] || glob_descriptor[`DESC_BIT_SEG] || (glob_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_AVAIL_386 && glob_descriptor[`DESC_BITS_TYPE] != `DESC_TSS_AVAIL_286);
327
wire cond_209 = exe_cmd == `CMD_int;
328
wire cond_210 = exe_cmd != `CMD_int;
329
wire cond_211 = (exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_call_gate_STEP_1) || (exe_cmd == `CMD_int    && exe_cmdex == `CMDEX_int_int_trap_gate_STEP_1);
330
wire cond_212 = glob_param_1[15:2] == 14'd0 || glob_descriptor[`DESC_BIT_SEG] == `FALSE ||  `DESC_IS_DATA(glob_descriptor) || glob_descriptor[`DESC_BITS_DPL] > cpl;
331
wire cond_213 = (exe_cmd == `CMD_CALL_2 && exe_cmdex == `CMDEX_CALL_2_call_gate_more_STEP_2) || (exe_cmd == `CMD_int_2  && exe_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_2);
332
wire cond_214 = glob_param_5[0] || glob_param_1[`SELECTOR_BITS_RPL] != glob_descriptor_2[`DESC_BITS_DPL] ||  glob_descriptor[`DESC_BITS_DPL] != glob_descriptor_2[`DESC_BITS_DPL] || glob_descriptor[`DESC_BIT_SEG] == `FALSE || `DESC_IS_CODE(glob_descriptor) || `DESC_IS_DATA_RO(glob_descriptor);
333
wire cond_215 = glob_param_5[0] == 1'b0 && ~(exe_trigger_ts_fault) && ~(glob_descriptor[`DESC_BIT_P]);
334
wire cond_216 = exe_cmd == `CMD_STOS;
335
wire cond_217 = exe_cmd == `CMD_INS;
336
wire cond_218 = exe_mutex_current[`MUTEX_EDX_BIT];
337
wire cond_219 = exe_cmd == `CMD_OUTS;
338
wire cond_220 = exe_cmd == `CMD_PUSHF;
339
wire cond_221 = exe_mutex_current[`MUTEX_ESP_BIT] || exe_mutex_current[`MUTEX_EFLAGS_BIT];
340
wire cond_222 = exe_cmd == `CMD_JMP  && (exe_cmdex == `CMDEX_JMP_Ev_STEP_0  || exe_cmdex == `CMDEX_JMP_Ep_STEP_0  || exe_cmdex == `CMDEX_JMP_Ap_STEP_0);
341
wire cond_223 = exe_cmd == `CMD_JMP  && exe_cmdex == `CMDEX_JMP_Jv_STEP_0;
342
wire cond_224 = exe_cmd == `CMD_CALL && exe_mutex_current[`MUTEX_ESP_BIT];
343
wire cond_225 = exe_cmd == `CMD_JMP  && exe_cmdex == `CMDEX_JMP_Ev_Jv_STEP_1;
344
wire cond_226 = exe_cmd == `CMD_JMP  && exe_cmdex == `CMDEX_JMP_Ep_STEP_1;
345
wire cond_227 = exe_cmd == `CMD_JMP  && exe_cmdex == `CMDEX_JMP_Ap_STEP_1;
346
wire cond_228 = exe_cmd == `CMD_JMP && exe_cmdex == `CMDEX_JMP_real_v8086_STEP_0;
347
wire cond_229 = exe_cmd == `CMD_JMP && exe_cmdex == `CMDEX_JMP_real_v8086_STEP_1;
348
wire cond_230 = exe_cmd == `CMD_JMP && exe_cmdex == `CMDEX_JMP_protected_seg_STEP_0;
349
wire cond_231 = exe_cmd == `CMD_JMP && exe_cmdex == `CMDEX_JMP_protected_seg_STEP_1;
350
wire cond_232 = exe_cmd == `CMD_JMP_2 && exe_cmdex == `CMDEX_JMP_2_call_gate_STEP_1;
351
wire cond_233 = glob_param_1[15:2] == 14'd0 || glob_descriptor[`DESC_BIT_SEG] == `FALSE || `DESC_IS_DATA(glob_descriptor) || (`DESC_IS_CODE_NON_CONFORMING(exe_descriptor) && exe_descriptor[`DESC_BITS_DPL] != cpl) || (`DESC_IS_CODE_CONFORMING(exe_descriptor)     && exe_descriptor[`DESC_BITS_DPL] > cpl);
352
wire cond_234 = exe_cmd == `CMD_JMP_2 && exe_cmdex == `CMDEX_JMP_2_call_gate_STEP_2;
353
wire cond_235 = exe_cmd == `CMD_JMP_2 && exe_cmdex == `CMDEX_JMP_2_call_gate_STEP_3;
354
wire cond_236 = exe_cmd == `CMD_OUT && (exe_cmdex == `CMDEX_OUT_dx || exe_cmdex == `CMDEX_OUT_imm);
355
wire cond_237 = exe_cmdex == `CMDEX_OUT_dx && exe_mutex_current[`MUTEX_EDX_BIT];
356
wire cond_238 = exe_cmd == `CMD_OUT && exe_cmdex == `CMDEX_OUT_protected;
357
wire cond_239 = exe_cmd == `CMD_MOV;
358
wire cond_240 = exe_cmd == `CMD_POPF && exe_cmdex == `CMDEX_POPF_STEP_0;
359
wire cond_241 = exe_cmd == `CMD_CLI || exe_cmd == `CMD_STI;
360
wire cond_242 = (protected_mode && iopl < cpl) || (v8086_mode && iopl != 2'd3);
361
wire cond_243 = exe_cmd == `CMD_BOUND && exe_cmdex == `CMDEX_BOUND_STEP_FIRST;
362
wire cond_244 = exe_cmd == `CMD_BOUND && exe_cmdex == `CMDEX_BOUND_STEP_LAST;
363
wire cond_245 = exe_bound_fault;
364
wire cond_246 = exe_cmd == `CMD_task_switch && exe_cmdex == `CMDEX_task_switch_STEP_1;
365
wire cond_247 = glob_desc_limit < exe_new_tss_max;
366
wire cond_248 = tr_limit < ((tr_cache[`DESC_BITS_TYPE] <= 4'd3)? 32'h29 : 32'h5F);
367
wire cond_249 = exe_cmd == `CMD_task_switch && exe_cmdex == `CMDEX_task_switch_STEP_2;
368
wire cond_250 = ~(tlbcheck_done) && ~(tlbcheck_page_fault);
369
wire cond_251 = tlbcheck_page_fault;
370
wire cond_252 = exe_cmd == `CMD_task_switch && exe_cmdex == `CMDEX_task_switch_STEP_3;
371
wire cond_253 = exe_cmd == `CMD_task_switch && exe_cmdex == `CMDEX_task_switch_STEP_4;
372
wire cond_254 = exe_cmd == `CMD_task_switch && exe_cmdex == `CMDEX_task_switch_STEP_5;
373
wire cond_255 = exe_cmd == `CMD_task_switch && exe_cmdex == `CMDEX_task_switch_STEP_7;
374
wire cond_256 = exe_cmd == `CMD_task_switch && exe_cmdex == `CMDEX_task_switch_STEP_8;
375
wire cond_257 = exe_cmd == `CMD_task_switch && exe_cmdex == `CMDEX_task_switch_STEP_10;
376
wire cond_258 = exe_cmd == `CMD_task_switch && exe_cmdex >= `CMDEX_task_switch_STEP_12 && exe_cmdex <= `CMDEX_task_switch_STEP_14;
377
wire cond_259 = exe_cmd == `CMD_task_switch_2;
378
wire cond_260 = exe_cmdex <= `CMDEX_task_switch_2_STEP_7;
379
wire cond_261 = exe_cmdex > `CMDEX_task_switch_2_STEP_7;
380
wire cond_262 = exe_cmd == `CMD_task_switch_3;
381
wire cond_263 = exe_cmd == `CMD_task_switch_4 && exe_cmdex == `CMDEX_task_switch_4_STEP_0;
382
wire cond_264 = exe_cmd == `CMD_task_switch_4 && exe_cmdex == `CMDEX_task_switch_4_STEP_2;
383
wire cond_265 = glob_param_2[2] || glob_param_2[2:0] == 3'b010 || ( glob_param_2[2:0] == 3'b000 && ( exe_descriptor[`DESC_BIT_SEG] || exe_descriptor[`DESC_BITS_TYPE] != `DESC_LDT ||  exe_descriptor[`DESC_BIT_P] == `FALSE ) );
384
wire cond_266 = exe_cmd == `CMD_task_switch_4 && exe_cmdex == `CMDEX_task_switch_4_STEP_3;
385
wire cond_267 = ~(v8086_mode);
386
wire cond_268 = glob_param_2[1:0] != 2'b00 || ( exe_descriptor[`DESC_BIT_SEG] == 1'b0 || `DESC_IS_CODE(exe_descriptor) || `DESC_IS_DATA_RO(exe_descriptor) || (exe_descriptor[`DESC_BIT_P] && ( exe_descriptor[`DESC_BITS_DPL] != wr_task_rpl || exe_descriptor[`DESC_BITS_DPL] != exe_selector[`SELECTOR_BITS_RPL] ) ) );
387
wire cond_269 = glob_param_2[1:0] == 2'b00 && exe_descriptor[`DESC_BIT_SEG] && `DESC_IS_DATA_RW(exe_descriptor) && ~(exe_descriptor[`DESC_BIT_P]);
388
wire cond_270 = exe_cmd == `CMD_task_switch_4 && exe_cmdex >= `CMDEX_task_switch_4_STEP_4 && exe_cmdex <= `CMDEX_task_switch_4_STEP_7;
389
wire cond_271 = glob_param_2[1:0] == 2'b10 || (glob_param_2[1:0] == 2'b00 && ( exe_descriptor[`DESC_BIT_SEG] == 1'b0 || `DESC_IS_CODE_EO(exe_descriptor) || ((`DESC_IS_DATA(exe_descriptor) || `DESC_IS_CODE_NON_CONFORMING(exe_descriptor)) && exe_privilege_not_accepted) ));
390
wire cond_272 = glob_param_2[1:0] == 2'b00 && ~(exe_trigger_ts_fault) && ~(exe_descriptor[`DESC_BIT_P]);
391
wire cond_273 = exe_cmd == `CMD_task_switch_4 && exe_cmdex == `CMDEX_task_switch_4_STEP_8;
392
wire cond_274 = glob_param_2[1:0] != 2'b00 || ( exe_descriptor[`DESC_BIT_SEG] == 1'b0 || `DESC_IS_DATA(exe_descriptor) || (`DESC_IS_CODE_NON_CONFORMING(exe_descriptor) && exe_descriptor[`DESC_BITS_DPL] != exe_selector[`SELECTOR_BITS_RPL]) || (`DESC_IS_CODE_CONFORMING(exe_descriptor)     && exe_descriptor[`DESC_BITS_DPL] >  exe_selector[`SELECTOR_BITS_RPL]) );
393
wire cond_275 = exe_cmd == `CMD_task_switch_4 && exe_cmdex == `CMDEX_task_switch_4_STEP_9;
394
wire cond_276 = exe_cmd == `CMD_task_switch_4 && exe_cmdex == `CMDEX_task_switch_4_STEP_10;
395
wire cond_277 = exe_eip > cs_limit;
396
wire cond_278 = exe_cmd == `CMD_LEA;
397
wire cond_279 = exe_cmd == `CMD_SGDT;
398
wire cond_280 = exe_cmdex == `CMDEX_SGDT_SIDT_STEP_1;
399
wire cond_281 = exe_cmdex == `CMDEX_SGDT_SIDT_STEP_2;
400
wire cond_282 = exe_cmd == `CMD_SIDT;
401
wire cond_283 = exe_cmd == `CMD_MOVS;
402
wire cond_284 = exe_cmd == `CMD_MOVSX || exe_cmd == `CMD_MOVZX;
403
wire cond_285 = exe_cmd == `CMD_POPA;
404
wire cond_286 = exe_cmd == `CMD_debug_reg && (exe_cmdex == `CMDEX_debug_reg_MOV_store_STEP_0 || exe_cmdex == `CMDEX_debug_reg_MOV_load_STEP_0);
405
wire cond_287 = exe_cmdex == `CMDEX_debug_reg_MOV_load_STEP_0;
406
wire cond_288 = exe_cmdex == `CMDEX_debug_reg_MOV_store_STEP_0 && exe_modregrm_reg == 3'd0;
407
wire cond_289 = exe_cmdex == `CMDEX_debug_reg_MOV_store_STEP_0 && exe_modregrm_reg == 3'd1;
408
wire cond_290 = exe_cmdex == `CMDEX_debug_reg_MOV_store_STEP_0 && exe_modregrm_reg == 3'd2;
409
wire cond_291 = exe_cmdex == `CMDEX_debug_reg_MOV_store_STEP_0 && exe_modregrm_reg == 3'd3;
410
wire cond_292 = exe_cmdex == `CMDEX_debug_reg_MOV_store_STEP_0 && (exe_modregrm_reg == 3'd4 || exe_modregrm_reg == 3'd6);
411
wire cond_293 = exe_cmdex == `CMDEX_debug_reg_MOV_store_STEP_0 && (exe_modregrm_reg == 3'd5 || exe_modregrm_reg == 3'd7);
412
wire cond_294 = dr7[`DR7_BIT_GD];
413
wire cond_295 = exe_cmd == `CMD_XLAT;
414
wire cond_296 = exe_cmd == `CMD_AAA || exe_cmd == `CMD_AAS || exe_cmd == `CMD_DAA || exe_cmd == `CMD_DAS;
415
wire cond_297 = { exe_cmd[6:1], 1'd0 } == `CMD_BSx;
416
wire cond_298 = exe_cmd == `CMD_BSF;
417
wire cond_299 = exe_cmd == `CMD_BSR;
418
//======================================================== saves
419
wire [31:0] exe_buffer_to_reg =
420
    (cond_0)? ( dst) :
421
    (cond_134 && cond_36)? ( src) :
422
    (cond_139)? ( src) :
423
    (cond_162 && ~cond_10)? ( exe_enter_offset) :
424
    (cond_191)? ( dst) :
425
    (cond_243)? ( src) :
426
    (cond_258 && cond_36)? ( src) :
427
    (cond_262 && cond_36)? ( (exe_cmdex == `CMDEX_task_switch_3_STEP_15 && glob_descriptor[`DESC_BITS_TYPE] <= 4'd3)? 32'd0 : src) :
428
    (cond_285 && cond_36)? ( src) :
429
    exe_buffer;
430
//======================================================== always
431
always @(posedge clk or negedge rst_n) begin
432
    if(rst_n == 1'b0) exe_buffer <= 32'd0;
433
    else              exe_buffer <= exe_buffer_to_reg;
434
end
435
//======================================================== sets
436
assign tlbcheck_rw =
437
    (cond_249)? (       `FALSE) :
438
    (cond_252)? (       `FALSE) :
439
    (cond_253)? (       `TRUE) :
440
    (cond_254)? (       `TRUE) :
441
    (cond_255)? (       `TRUE) :
442
    (cond_256)? (       `TRUE) :
443
    1'd0;
444
assign exe_cmpxchg_switch =
445
    (cond_160)? (`TRUE) :
446
    1'd0;
447
assign wbinvddata_do =
448
    (cond_170)? ( ~(e_wbinvd_data_done)) :
449
    1'd0;
450
assign exe_trigger_ss_fault =
451
    (cond_213 && cond_215)? (`TRUE) :
452
    (cond_266 && cond_267 && cond_269)? (`TRUE) :
453
    1'd0;
454
assign offset_ret =
455
    (cond_65 && cond_67)? (`TRUE) :
456
    (cond_183)? ( exe_decoder[0] == 1'b0) :
457
    (cond_187 && cond_188)? ( exe_decoder[0] == 1'b0) :
458
    1'd0;
459
assign exe_buffer_shift_word =
460
    (cond_262 && cond_36)? (  exe_cmdex >  `CMDEX_task_switch_3_STEP_8) :
461
    1'd0;
462
assign exe_buffer_shift =
463
    (cond_134 && cond_36)? (`TRUE) :
464
    (cond_258 && cond_36)? (`TRUE) :
465
    (cond_262 && cond_36)? (       exe_cmdex <= `CMDEX_task_switch_3_STEP_8) :
466
    (cond_285 && cond_36)? (`TRUE) :
467
    1'd0;
468
assign offset_ret_far_se =
469
    (cond_187 && cond_188)? (`TRUE) :
470
    1'd0;
471
assign exe_eip_from_glob_param_2 =
472
    (cond_13)? (`TRUE) :
473
    (cond_23)? (`TRUE) :
474
    (cond_27)? (`TRUE) :
475
    (cond_33)? (`TRUE) :
476
    (cond_46)? (`TRUE) :
477
    (cond_65)? (`TRUE) :
478
    (cond_78)? (`TRUE) :
479
    (cond_81)? (`TRUE) :
480
    (cond_90)? (`TRUE) :
481
    (cond_119)? (`TRUE) :
482
    (cond_122)? (`TRUE) :
483
    (cond_128)? (`TRUE) :
484
    (cond_186)? (`TRUE) :
485
    (cond_187)? (`TRUE) :
486
    (cond_225)? (`TRUE) :
487
    (cond_229)? (`TRUE) :
488
    (cond_231)? (`TRUE) :
489
    (cond_235)? (`TRUE) :
490
    (cond_276)? (`TRUE) :
491
    1'd0;
492
assign exe_task_switch_finished =
493
    (cond_276)? (`TRUE) :
494
    1'd0;
495
assign offset_esp =
496
    (cond_5)? (`TRUE) :
497
    1'd0;
498
assign exe_trigger_np_fault =
499
    (cond_93 && cond_95)? (`TRUE) :
500
    (cond_131 && cond_133)? (`TRUE) :
501
    (cond_201 && cond_203)? (`TRUE) :
502
    (cond_207 && cond_95)? (`TRUE) :
503
    (cond_211 && cond_95)? (`TRUE) :
504
    (cond_232 && cond_95)? (`TRUE) :
505
    (cond_270 && cond_267 && cond_272)? (`TRUE) :
506
    (cond_273 && cond_267 && cond_272)? (`TRUE) :
507
    1'd0;
508
assign offset_iret =
509
    (cond_118)? (`TRUE) :
510
    1'd0;
511
assign exe_eip_from_glob_param_2_16bit =
512
    (cond_135)? (`TRUE) :
513
    1'd0;
514
assign exe_trigger_gp_fault =
515
    (cond_2 && ~cond_3 && cond_4)? (`TRUE) :
516
    (cond_6 && cond_7)? (`TRUE) :
517
    (cond_13 && cond_14)? (`TRUE) :
518
    (cond_17 && cond_18)? (`TRUE) :
519
    (cond_21 && cond_22)? (`TRUE) :
520
    (cond_31 && cond_7)? (`TRUE) :
521
    (cond_43 && cond_44)? (`TRUE) :
522
    (cond_50 && ~cond_51 && cond_52)? (`TRUE) :
523
    (cond_53 && cond_54)? (`TRUE) :
524
    (cond_57 && cond_54)? (`TRUE) :
525
    (cond_60 && cond_61)? (`TRUE) :
526
    (cond_62 && cond_54)? (`TRUE) :
527
    (cond_65 && cond_14)? (`TRUE) :
528
    (cond_71 && cond_72)? (`TRUE) :
529
    (cond_74 && cond_75)? (`TRUE) :
530
    (cond_77 && cond_44)? (`TRUE) :
531
    (cond_83 && cond_84)? (`TRUE) :
532
    (cond_85 && cond_86)? (`TRUE) :
533
    (cond_89 && cond_14)? (`TRUE) :
534
    (cond_91 && cond_92)? (`TRUE) :
535
    (cond_93 && cond_94)? (`TRUE) :
536
    (cond_96 && cond_97)? (`TRUE) :
537
    (cond_110 && cond_111 && cond_112)? (`TRUE) :
538
    (cond_118 && cond_7)? (`TRUE) :
539
    (cond_120 && cond_44)? (`TRUE) :
540
    (cond_123 && cond_124)? (`TRUE) :
541
    (cond_126 && cond_127)? (`TRUE) :
542
    (cond_136 && cond_137)? (`TRUE) :
543
    (cond_145 && cond_54)? (`TRUE) :
544
    (cond_146 && cond_54)? (`TRUE) :
545
    (cond_150 && cond_151)? (`TRUE) :
546
    (cond_153 && cond_72)? (`TRUE) :
547
    (cond_169 && cond_54)? (`TRUE) :
548
    (cond_175 && ~cond_176 && cond_177)? (`TRUE) :
549
    (cond_179 && cond_54)? (`TRUE) :
550
    (cond_181 && cond_182)? (`TRUE) :
551
    (cond_183 && cond_7)? (`TRUE) :
552
    (cond_184 && cond_44)? (`TRUE) :
553
    (cond_201 && cond_202)? (`TRUE) :
554
    (cond_207 && cond_208)? (`TRUE) :
555
    (cond_211 && cond_212)? (`TRUE) :
556
    (cond_220 && ~cond_221 && cond_124)? (`TRUE) :
557
    (cond_225 && cond_14)? (`TRUE) :
558
    (cond_228 && cond_14)? (`TRUE) :
559
    (cond_230 && cond_7)? (`TRUE) :
560
    (cond_232 && cond_233)? (`TRUE) :
561
    (cond_234 && cond_7)? (`TRUE) :
562
    (cond_240 && ~cond_51 && cond_124)? (`TRUE) :
563
    (cond_241 && ~cond_51 && cond_242)? (`TRUE) :
564
    (cond_276 && cond_277)? (`TRUE) :
565
    (cond_286 && ~cond_294 && cond_54)? (`TRUE) :
566
    1'd0;
567
assign exe_glob_descriptor_value =
568
    (cond_24)? (  ss_cache) :
569
    (cond_26 && ~cond_20)? ( glob_descriptor_2) :
570
    (cond_45 && cond_36)? ( glob_descriptor_2) :
571
    (cond_79 && cond_80)? ( glob_descriptor_2) :
572
    (cond_120 && ~cond_44)? ( glob_descriptor_2) :
573
    (cond_121)? ( glob_descriptor_2) :
574
    (cond_184 && ~cond_44)? ( glob_descriptor_2) :
575
    (cond_185)? ( glob_descriptor_2) :
576
    64'd0;
577
assign offset_task =
578
    (cond_275)? (`TRUE) :
579
    1'd0;
580
assign exe_glob_param_2_set =
581
    (cond_8 && cond_11)? (`TRUE) :
582
    (cond_8 && ~cond_11)? (`TRUE) :
583
    (cond_12 && cond_11)? (`TRUE) :
584
    (cond_12 && ~cond_11)? (`TRUE) :
585
    (cond_28)? (`TRUE) :
586
    (cond_73)? (`TRUE) :
587
    (cond_200)? (`TRUE) :
588
    (cond_222 && cond_11)? (`TRUE) :
589
    (cond_222 && ~cond_11)? (`TRUE) :
590
    (cond_223 && cond_11)? (`TRUE) :
591
    (cond_223 && ~cond_11)? (`TRUE) :
592
    (cond_276)? (`TRUE) :
593
    1'd0;
594
assign offset_pop =
595
    (cond_65 && cond_66)? (`TRUE) :
596
    (cond_116)? (`TRUE) :
597
    (cond_123)? (`TRUE) :
598
    (cond_125)? (`TRUE) :
599
    (cond_126)? (`TRUE) :
600
    (cond_138)? (`TRUE) :
601
    (cond_139)? (`TRUE) :
602
    (cond_140)? (`TRUE) :
603
    (cond_180)? (`TRUE) :
604
    (cond_183)? ( exe_decoder[0] == 1'b1) :
605
    (cond_187 && cond_188)? ( exe_decoder[0] == 1'b1) :
606
    (cond_240)? (`TRUE) :
607
    (cond_285)? (`TRUE) :
608
    1'd0;
609
assign exe_result2 =
610
    (cond_106)? ( mult_result[63:32]) :
611
    (cond_108)? ( div_result_remainder) :
612
    (cond_141)? ( div_result_remainder) :
613
    (cond_145)? ( src) :
614
    (cond_150)? ( src) :
615
    (cond_153)? ( src) :
616
    (cond_160)? ( dst) :
617
    (cond_166)? ( mult_result[63:32]) :
618
    (cond_174)? ( mult_result[63:32]) :
619
    (cond_189)? ( src) :
620
    (cond_190)? ( dst) :
621
    (cond_195)? ( src) :
622
    (cond_196)? ( src) :
623
    (cond_239)? ( dst) :
624
    (cond_240)? ( src) :
625
    (cond_259 && cond_260)? ( src) :
626
    (cond_259 && cond_261)? ( { 16'd0, e_seg_by_cmdex }) :
627
    (cond_263)? ( src) :
628
    (cond_286 && cond_287)? ( src) :
629
    (cond_295)? ( dst) :
630
    32'd0;
631
assign offset_new_stack_continue =
632
    (cond_37)? (`TRUE) :
633
    (cond_39)? (`TRUE) :
634
    (cond_40)? (`TRUE) :
635
    (cond_41)? (`TRUE) :
636
    (cond_101)? (`TRUE) :
637
    (cond_102)? (`TRUE) :
638
    (cond_103)? (`TRUE) :
639
    (cond_104)? (`TRUE) :
640
    (cond_105)? (`TRUE) :
641
    1'd0;
642
assign tlbflushsingle_do =
643
    (cond_58)? (`TRUE) :
644
    1'd0;
645
assign tlbflushsingle_address =
646
    (cond_58)? ( exe_linear) :
647
    32'd0;
648
assign exe_result_signals =
649
    (cond_2 && ~cond_3)? ( { 4'd0, exe_jecxz_condition }) :
650
    (cond_50 && ~cond_51)? ( { 4'd0, exe_condition }) :
651
    (cond_68)? ( { 4'd0, dst[1:0] < src[1:0] }) :
652
    (cond_117)? ( { 4'd0, e_bit_selected }) :
653
    (cond_142)? ( { e_shift_no_write, e_shift_oszapc_update, e_shift_cf_of_update, e_shift_oflag, e_shift_cflag }) :
654
    (cond_143)? ( { e_shift_no_write, e_shift_oszapc_update, e_shift_cf_of_update, e_shift_oflag, e_shift_cflag }) :
655
    (cond_160)? ( { 4'd0, e_cmpxchg_eq }) :
656
    (cond_168)? ( { e_shift_no_write, e_shift_oszapc_update, e_shift_cf_of_update, e_shift_oflag, e_shift_cflag }) :
657
    (cond_175 && ~cond_176)? ( { 4'd0, exe_cmd_loop_condition }) :
658
    (cond_296)? ( { 3'b0, exe_bcd_condition_af, exe_bcd_condition_cf }) :
659
    (cond_297)? ( { 4'd0, e_bit_scan_zero }) :
660
    5'd0;
661
assign exe_result_push =
662
    (cond_8 && cond_9)? ( exe_eip) :
663
    (cond_12)? ( exe_eip) :
664
    (cond_17)? ( { 16'd0, cs[15:0] }) :
665
    (cond_19)? ( exe_eip) :
666
    (cond_24)? ( { 16'd0, cs[15:0] }) :
667
    (cond_25)? ( exe_eip) :
668
    (cond_29)? ( { 16'd0, cs[15:0] }) :
669
    (cond_30)? ( exe_eip) :
670
    (cond_37 && cond_38)? ( esp) :
671
    (cond_37 && ~cond_38)? ( { 16'd0, esp[15:0] }) :
672
    (cond_39)? ( glob_param_5) :
673
    (cond_40)? ( { 16'd0, cs[15:0] }) :
674
    (cond_41 && cond_42)? ( exe_eip) :
675
    (cond_41 && ~cond_42)? ( { 16'd0, exe_eip[15:0] }) :
676
    (cond_47)? ( { 16'd0, e_seg_by_cmdex }) :
677
    (cond_85)? ( exe_push_eflags) :
678
    (cond_87)? ( { 16'd0, cs[15:0] }) :
679
    (cond_88)? ( exe_eip) :
680
    (cond_96)? ( exe_push_eflags) :
681
    (cond_98)? ( { 16'd0, cs[15:0] }) :
682
    (cond_99)? ( exe_eip) :
683
    (cond_100)? ( { 16'd0, exc_error_code[15:0] }) :
684
    (cond_101)? (    (exe_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_4)?  { 16'd0, gs } : (exe_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_5)?  { 16'd0, fs } : (exe_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_6)?  { 16'd0, ds } : (exe_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_7)?  { 16'd0, es } : (exe_cmdex == `CMDEX_int_2_int_trap_gate_more_STEP_8)?  { 16'd0, ss } : esp) :
685
    (cond_102)? ( exe_push_eflags) :
686
    (cond_103)? ( { 16'd0, cs[15:0] }) :
687
    (cond_104)? ( exe_eip) :
688
    (cond_105)? ( { 16'd0, exc_error_code[15:0] }) :
689
    (cond_154 && cond_155)? ( wr_esp_prev) :
690
    (cond_154 && ~cond_155)? ( src) :
691
    (cond_162)? ( ebp) :
692
    (cond_164 && cond_165)? ( exe_buffer) :
693
    (cond_164 && ~cond_165)? ( src) :
694
    (cond_167)? ( src) :
695
    (cond_193 && cond_194)? ( { {24{src[7]}}, src[7:0] }) :
696
    (cond_193 && ~cond_194)? ( src) :
697
    (cond_213 && cond_205)? ( { 16'd0, ss[15:0] }) :
698
    (cond_216)? ( src) :
699
    (cond_217)? ( src) :
700
    (cond_219)? ( src) :
701
    (cond_220)? ( exe_pushf_eflags) :
702
    (cond_236)? ( src) :
703
    (cond_238)? ( src) :
704
    (cond_257)? ( exe_push_eflags) :
705
    (cond_275)? ( { 16'd0, glob_param_3[15:0] }) :
706
    (cond_283)? ( src) :
707
    32'd0;
708
assign exe_error_code =
709
    (cond_74 && cond_75)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
710
    (cond_91 && cond_92)? ( { 5'd0, exc_vector[7:0], 3'b010 }) :
711
    (cond_93 && cond_94)? ( { 5'd0, exc_vector[7:0], 3'b010 }) :
712
    (cond_93 && cond_95)? ( { 5'd0, exc_vector[7:0], 3'b010 }) :
713
    (cond_96 && cond_97)? ( (exe_int_2_int_trap_same_exception)? `SELECTOR_FOR_CODE(glob_param_1) : 16'd0) :
714
    (cond_110 && cond_111 && cond_112)? ( { glob_param_1[15:2], 2'd0 }) :
715
    (cond_113 && cond_114)? ( { glob_param_1[15:2], 2'd0 }) :
716
    (cond_129 && cond_130)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
717
    (cond_131 && cond_132)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
718
    (cond_131 && cond_133)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
719
    (cond_136 && cond_137)? ( { glob_param_1[15:2], 2'd0 }) :
720
    (cond_201 && cond_202)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
721
    (cond_201 && cond_203)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
722
    (cond_207 && cond_208)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
723
    (cond_207 && cond_95)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
724
    (cond_211 && cond_212)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
725
    (cond_211 && cond_95)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
726
    (cond_213 && cond_214)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
727
    (cond_213 && cond_215)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
728
    (cond_232 && cond_233)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
729
    (cond_232 && cond_95)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
730
    (cond_246 && cond_247)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
731
    (cond_246 && cond_248)? ( `SELECTOR_FOR_CODE(tr)) :
732
    (cond_264 && cond_265)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
733
    (cond_266 && cond_267 && cond_268)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
734
    (cond_266 && cond_267 && cond_269)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
735
    (cond_270 && cond_267 && cond_271)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
736
    (cond_270 && cond_267 && cond_272)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
737
    (cond_273 && cond_267 && cond_274)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
738
    (cond_273 && cond_267 && cond_272)? ( `SELECTOR_FOR_CODE(glob_param_1)) :
739
    16'd0;
740
assign exe_waiting =
741
    (cond_2 && cond_3)? (`TRUE) :
742
    (cond_2 && ~cond_3 && cond_4)? (`TRUE) :
743
    (cond_6 && cond_7)? (`TRUE) :
744
    (cond_8 && cond_9 && cond_10)? (`TRUE) :
745
    (cond_12 && cond_10)? (`TRUE) :
746
    (cond_13 && cond_14)? (`TRUE) :
747
    (cond_17 && cond_18)? (`TRUE) :
748
    (cond_19 && cond_20)? (`TRUE) :
749
    (cond_21 && cond_22)? (`TRUE) :
750
    (cond_26 && cond_20)? (`TRUE) :
751
    (cond_31 && cond_7)? (`TRUE) :
752
    (cond_34 && cond_35)? (`TRUE) :
753
    (cond_43 && cond_44)? (`TRUE) :
754
    (cond_47 && cond_10)? (`TRUE) :
755
    (cond_50 && cond_51)? (`TRUE) :
756
    (cond_50 && ~cond_51 && cond_52)? (`TRUE) :
757
    (cond_53 && cond_54)? (`TRUE) :
758
    (cond_55 && cond_56)? (`TRUE) :
759
    (cond_57 && cond_54)? (`TRUE) :
760
    (cond_58 && cond_59)? (`TRUE) :
761
    (cond_60 && cond_61)? (`TRUE) :
762
    (cond_62 && cond_54)? (`TRUE) :
763
    (cond_65 && cond_14)? (`TRUE) :
764
    (cond_71 && cond_72)? (`TRUE) :
765
    (cond_74 && cond_75)? (`TRUE) :
766
    (cond_74 && cond_76)? (`TRUE) :
767
    (cond_77 && cond_44)? (`TRUE) :
768
    (cond_79 && cond_20)? (`TRUE) :
769
    (cond_82 && cond_20)? (`TRUE) :
770
    (cond_83 && cond_84)? (`TRUE) :
771
    (cond_85 && cond_86)? (`TRUE) :
772
    (cond_89 && cond_14)? (`TRUE) :
773
    (cond_91 && cond_92)? (`TRUE) :
774
    (cond_93 && cond_94)? (`TRUE) :
775
    (cond_93 && cond_95)? (`TRUE) :
776
    (cond_96 && cond_97)? (`TRUE) :
777
    (cond_106 && cond_107)? (`TRUE) :
778
    (cond_108 && cond_109)? (`TRUE) :
779
    (cond_110 && cond_111 && cond_112)? (`TRUE) :
780
    (cond_113 && cond_114 && cond_115)? (`TRUE) :
781
    (cond_118 && cond_7)? (`TRUE) :
782
    (cond_120 && cond_44)? (`TRUE) :
783
    (cond_123 && cond_124)? (`TRUE) :
784
    (cond_126 && cond_127)? (`TRUE) :
785
    (cond_129 && cond_130)? (`TRUE) :
786
    (cond_131 && cond_132)? (`TRUE) :
787
    (cond_131 && cond_133)? (`TRUE) :
788
    (cond_136 && cond_137)? (`TRUE) :
789
    (cond_141 && cond_109)? (`TRUE) :
790
    (cond_142 && cond_51)? (`TRUE) :
791
    (cond_145 && cond_54)? (`TRUE) :
792
    (cond_146 && cond_54)? (`TRUE) :
793
    (cond_150 && cond_151)? (`TRUE) :
794
    (cond_153 && cond_72)? (`TRUE) :
795
    (cond_154 && cond_10)? (`TRUE) :
796
    (cond_156 && cond_157)? (`TRUE) :
797
    (cond_158 && cond_51)? (`TRUE) :
798
    (cond_160 && cond_161)? (`TRUE) :
799
    (cond_162 && cond_10)? (`TRUE) :
800
    (cond_164 && cond_10)? (`TRUE) :
801
    (cond_166 && cond_107)? (`TRUE) :
802
    (cond_169 && cond_54)? (`TRUE) :
803
    (cond_170 && cond_171)? (`TRUE) :
804
    (cond_172 && cond_173)? (`TRUE) :
805
    (cond_174 && cond_107)? (`TRUE) :
806
    (cond_175 && cond_176)? (`TRUE) :
807
    (cond_175 && ~cond_176 && cond_177)? (`TRUE) :
808
    (cond_179 && cond_54)? (`TRUE) :
809
    (cond_181 && cond_182)? (`TRUE) :
810
    (cond_183 && cond_7)? (`TRUE) :
811
    (cond_184 && cond_44)? (`TRUE) :
812
    (cond_186 && cond_20)? (`TRUE) :
813
    (cond_193 && cond_10)? (`TRUE) :
814
    (cond_196 && cond_197)? (`TRUE) :
815
    (cond_201 && cond_202)? (`TRUE) :
816
    (cond_201 && cond_203)? (`TRUE) :
817
    (cond_207 && cond_208)? (`TRUE) :
818
    (cond_207 && cond_95)? (`TRUE) :
819
    (cond_211 && cond_212)? (`TRUE) :
820
    (cond_211 && cond_95)? (`TRUE) :
821
    (cond_213 && cond_214)? (`TRUE) :
822
    (cond_213 && cond_215)? (`TRUE) :
823
    (cond_217 && cond_218)? (`TRUE) :
824
    (cond_219 && cond_218)? (`TRUE) :
825
    (cond_220 && cond_221)? (`TRUE) :
826
    (cond_220 && ~cond_221 && cond_124)? (`TRUE) :
827
    (cond_223 && cond_224)? (`TRUE) :
828
    (cond_225 && cond_14)? (`TRUE) :
829
    (cond_228 && cond_14)? (`TRUE) :
830
    (cond_230 && cond_7)? (`TRUE) :
831
    (cond_232 && cond_233)? (`TRUE) :
832
    (cond_232 && cond_95)? (`TRUE) :
833
    (cond_234 && cond_7)? (`TRUE) :
834
    (cond_236 && cond_237)? (`TRUE) :
835
    (cond_240 && cond_51)? (`TRUE) :
836
    (cond_240 && ~cond_51 && cond_124)? (`TRUE) :
837
    (cond_241 && cond_51)? (`TRUE) :
838
    (cond_241 && ~cond_51 && cond_242)? (`TRUE) :
839
    (cond_244 && cond_245)? (`TRUE) :
840
    (cond_246 && cond_247)? (`TRUE) :
841
    (cond_246 && cond_248)? (`TRUE) :
842
    (cond_249 && cond_250)? (`TRUE) :
843
    (cond_249 && cond_251)? (`TRUE) :
844
    (cond_252 && cond_250)? (`TRUE) :
845
    (cond_252 && cond_251)? (`TRUE) :
846
    (cond_253 && cond_250)? (`TRUE) :
847
    (cond_253 && cond_251)? (`TRUE) :
848
    (cond_254 && cond_250)? (`TRUE) :
849
    (cond_254 && cond_251)? (`TRUE) :
850
    (cond_255 && cond_250)? (`TRUE) :
851
    (cond_255 && cond_251)? (`TRUE) :
852
    (cond_256 && cond_250)? (`TRUE) :
853
    (cond_256 && cond_251)? (`TRUE) :
854
    (cond_264 && cond_265)? (`TRUE) :
855
    (cond_266 && cond_267 && cond_268)? (`TRUE) :
856
    (cond_266 && cond_267 && cond_269)? (`TRUE) :
857
    (cond_270 && cond_267 && cond_271)? (`TRUE) :
858
    (cond_270 && cond_267 && cond_272)? (`TRUE) :
859
    (cond_273 && cond_267 && cond_274)? (`TRUE) :
860
    (cond_273 && cond_267 && cond_272)? (`TRUE) :
861
    (cond_276 && cond_277)? (`TRUE) :
862
    (cond_286 && cond_294)? (`TRUE) :
863
    (cond_286 && ~cond_294 && cond_54)? (`TRUE) :
864
    (cond_296 && cond_51)? (`TRUE) :
865
    1'd0;
866
assign exe_trigger_pf_fault =
867
    (cond_249 && cond_251)? (`TRUE) :
868
    (cond_252 && cond_251)? (`TRUE) :
869
    (cond_253 && cond_251)? (`TRUE) :
870
    (cond_254 && cond_251)? (`TRUE) :
871
    (cond_255 && cond_251)? (`TRUE) :
872
    (cond_256 && cond_251)? (`TRUE) :
873
    1'd0;
874
assign exe_branch =
875
    (cond_2 && ~cond_3)? (         exe_jecxz_condition) :
876
    (cond_50 && ~cond_51)? (         exe_condition) :
877
    (cond_175 && ~cond_176)? (         exe_cmd_loop_condition) :
878
    1'd0;
879
assign offset_call =
880
    (cond_24)? (`TRUE) :
881
    (cond_25)? (`TRUE) :
882
    1'd0;
883
assign invddata_do =
884
    (cond_55)? ( ~(e_invd_data_done)) :
885
    1'd0;
886
assign offset_int_real =
887
    (cond_85)? (`TRUE) :
888
    1'd0;
889
assign offset_iret_glob_param_4 =
890
    (cond_122)? (`TRUE) :
891
    (cond_186)? (   exe_decoder[0] == 1'b1) :
892
    1'd0;
893
assign offset_leave =
894
    (cond_167)? (`TRUE) :
895
    1'd0;
896
assign offset_new_stack_minus =
897
    (cond_213 && cond_205)? (`TRUE) :
898
    1'd0;
899
assign offset_call_int_same_first =
900
    (cond_29)? (`TRUE) :
901
    (cond_96)? (`TRUE) :
902
    1'd0;
903
assign exe_trigger_db_fault =
904
    (cond_286 && cond_294)? (`TRUE) :
905
    1'd0;
906
assign exe_glob_descriptor_2_set =
907
    (cond_24)? (`TRUE) :
908
    (cond_34 && cond_36)? (`TRUE) :
909
    (cond_74 && cond_36)? (`TRUE) :
910
    (cond_79 && cond_80)? (`TRUE) :
911
    (cond_120 && ~cond_44)? (`TRUE) :
912
    (cond_121)? (`TRUE) :
913
    (cond_184 && ~cond_44)? (`TRUE) :
914
    (cond_185)? ( exe_ready) :
915
    1'd0;
916
assign exe_glob_param_1_value =
917
    (cond_15)? ( { 13'd0, `SEGMENT_CS, src[15:0] }) :
918
    (cond_16 && cond_11)? ( { 13'd0, `SEGMENT_CS, exe_extra[31:16] }) :
919
    (cond_16 && ~cond_11)? ( { 13'd0, `SEGMENT_CS, exe_extra[15:0] }) :
920
    (cond_28)? ( { 7'd0, glob_descriptor[36:32], glob_descriptor[`DESC_BITS_TYPE] == `DESC_CALL_GATE_386, `SEGMENT_CS, glob_descriptor[31:16] }) :
921
    (cond_34 && cond_36)? ( { 13'd0, `SEGMENT_SS, glob_param_3[15:0] }) :
922
    (cond_45 && cond_36)? ( glob_param_3) :
923
    (cond_73)? ( { 11'd0, glob_descriptor[`DESC_BIT_TYPE_BIT_0], glob_descriptor[`DESC_BITS_TYPE] >= `DESC_INTERRUPT_GATE_386, `SEGMENT_CS, glob_descriptor[31:16] }) :
924
    (cond_74 && cond_36)? ( { 13'd0, `SEGMENT_SS, glob_param_3[15:0] }) :
925
    (cond_79 && cond_80)? ( glob_param_3) :
926
    (cond_120 && ~cond_44)? ( glob_param_3) :
927
    (cond_121)? ( glob_param_3) :
928
    (cond_184 && ~cond_44)? ( glob_param_3) :
929
    (cond_185)? ( glob_param_3) :
930
    (cond_196 && ~cond_197)? ( (exe_cmdex == `CMDEX_IN_dx)? { 16'd0, edx[15:0] } : { 24'd0, exe_decoder[15:8] }) :
931
    (cond_204 && cond_205)? ( { 14'd0, `TASK_SWITCH_FROM_CALL, glob_param_1[15:0] }) :
932
    (cond_204 && cond_206)? ( { 14'd0, `TASK_SWITCH_FROM_JUMP, glob_param_1[15:0] }) :
933
    (cond_207 && cond_205)? ( { 14'd0, `TASK_SWITCH_FROM_CALL, glob_param_1[15:0] }) :
934
    (cond_207 && cond_206)? ( { 14'd0, `TASK_SWITCH_FROM_JUMP, glob_param_1[15:0] }) :
935
    (cond_207 && cond_209)? ( { 14'd0, `TASK_SWITCH_FROM_INT,  glob_param_1[15:0] }) :
936
    (cond_217 && ~cond_218)? ( { 16'd0, edx[15:0] }) :
937
    (cond_219 && ~cond_218)? ( { 16'd0, edx[15:0] }) :
938
    (cond_226)? ( { 13'd0, `SEGMENT_CS, src[15:0] }) :
939
    (cond_227 && cond_11)? ( { 13'd0, `SEGMENT_CS, exe_extra[31:16] }) :
940
    (cond_227 && ~cond_11)? ( { 13'd0, `SEGMENT_CS, exe_extra[15:0] }) :
941
    (cond_236 && ~cond_237)? ( (exe_cmdex == `CMDEX_OUT_dx)? { 16'd0, edx[15:0] } : { 24'd0, exe_decoder[15:8] }) :
942
    32'd0;
943
assign exe_glob_param_1_set =
944
    (cond_15)? (`TRUE) :
945
    (cond_16 && cond_11)? (`TRUE) :
946
    (cond_16 && ~cond_11)? (`TRUE) :
947
    (cond_28)? (`TRUE) :
948
    (cond_34 && cond_36)? (`TRUE) :
949
    (cond_45 && cond_36)? (`TRUE) :
950
    (cond_73)? (`TRUE) :
951
    (cond_74 && cond_36)? (`TRUE) :
952
    (cond_79 && cond_80)? (`TRUE) :
953
    (cond_120 && ~cond_44)? (`TRUE) :
954
    (cond_121)? (`TRUE) :
955
    (cond_184 && ~cond_44)? (`TRUE) :
956
    (cond_185)? ( exe_ready) :
957
    (cond_196 && ~cond_197)? (`TRUE) :
958
    (cond_204 && cond_205)? (`TRUE) :
959
    (cond_204 && cond_206)? (`TRUE) :
960
    (cond_207 && cond_205)? (`TRUE) :
961
    (cond_207 && cond_206)? (`TRUE) :
962
    (cond_207 && cond_209)? (`TRUE) :
963
    (cond_217 && ~cond_218)? (`TRUE) :
964
    (cond_219 && ~cond_218)? (`TRUE) :
965
    (cond_226)? (`TRUE) :
966
    (cond_227 && cond_11)? (`TRUE) :
967
    (cond_227 && ~cond_11)? (`TRUE) :
968
    (cond_236 && ~cond_237)? (`TRUE) :
969
    1'd0;
970
assign offset_call_int_same_next =
971
    (cond_30)? (`TRUE) :
972
    (cond_98)? (`TRUE) :
973
    (cond_99)? (`TRUE) :
974
    (cond_100)? (`TRUE) :
975
    1'd0;
976
assign offset_call_keep =
977
    (cond_26)? (`TRUE) :
978
    (cond_31)? (`TRUE) :
979
    (cond_32)? (`TRUE) :
980
    1'd0;
981
assign invdcode_do =
982
    (cond_55)? ( ~(e_invd_code_done)) :
983
    (cond_170)? (   ~(e_wbinvd_code_done)) :
984
    1'd0;
985
assign exe_is_8bit_clear =
986
    (cond_284)? ( exe_is_8bit) :
987
    1'd0;
988
assign exe_glob_param_3_value =
989
    (cond_34 && cond_36)? ( glob_param_1) :
990
    (cond_74 && cond_36)? ( glob_param_1) :
991
    (cond_79 && cond_80)? ( glob_param_1) :
992
    (cond_120 && ~cond_44)? ( glob_param_1) :
993
    (cond_121)? ( glob_param_1) :
994
    (cond_184 && ~cond_44)? ( glob_param_1) :
995
    (cond_185)? ( glob_param_1) :
996
    (cond_204)? ( { 10'd0, exe_consumed, 1'd0, 1'd0, 16'd0 }) :
997
    (cond_207 && cond_210)? ( { 10'd0, exe_consumed, 1'd0, 1'd0, 16'd0 }) :
998
    (cond_207 && cond_209)? ( { 10'd0, exe_consumed, 1'd0, exc_push_error, exc_error_code[15:0] }) :
999
    32'd0;
1000
assign tlbcheck_do =
1001
    (cond_249)? (`TRUE) :
1002
    (cond_252)? (`TRUE) :
1003
    (cond_253)? (`TRUE) :
1004
    (cond_254)? (`TRUE) :
1005
    (cond_255)? (`TRUE) :
1006
    (cond_256)? (`TRUE) :
1007
    1'd0;
1008
assign offset_int_real_next =
1009
    (cond_87)? (`TRUE) :
1010
    (cond_88)? (`TRUE) :
1011
    1'd0;
1012
assign tlbcheck_address =
1013
    (cond_249)? (   glob_desc_base) :
1014
    (cond_252)? (   glob_desc_base + exe_new_tss_max) :
1015
    (cond_253)? (   glob_desc_base) :
1016
    (cond_254)? (   glob_desc_base + 32'd1) :
1017
    (cond_255)? (   (tr_cache[`DESC_BITS_TYPE] <= 4'd3)? tr_base + 32'd14 : tr_base + 32'h20) :
1018
    (cond_256)? (   (tr_cache[`DESC_BITS_TYPE] <= 4'd3)? tr_base + 32'd41 : tr_base + 32'h5D) :
1019
    32'd0;
1020
assign exe_glob_param_2_value =
1021
    (cond_8 && cond_11)? ( src) :
1022
    (cond_8 && ~cond_11)? ( { 16'd0, src[15:0] }) :
1023
    (cond_12 && cond_11)? ( exe_arith_add[31:0]) :
1024
    (cond_12 && ~cond_11)? ( { 16'd0, exe_arith_add[15:0] }) :
1025
    (cond_28)? ( (glob_descriptor[`DESC_BITS_TYPE] == `DESC_CALL_GATE_386)? { glob_descriptor[63:48], glob_descriptor[15:0] } : { 16'd0, glob_descriptor[15:0] }) :
1026
    (cond_73)? ( (glob_descriptor[`DESC_BITS_TYPE] >= `DESC_INTERRUPT_GATE_386)? { glob_descriptor[63:48], glob_descriptor[15:0] } : { 16'd0, glob_descriptor[15:0] }) :
1027
    (cond_200)? ( { 26'd0, exe_cmd_verw_desc_invalid, exe_cmd_verr_desc_invalid, exe_cmd_lsl_desc_invalid, exe_cmd_lar_desc_invalid, glob_param_2[1:0] }) :
1028
    (cond_222 && cond_11)? ( src) :
1029
    (cond_222 && ~cond_11)? ( { 16'd0, src[15:0] }) :
1030
    (cond_223 && cond_11)? ( exe_arith_add[31:0]) :
1031
    (cond_223 && ~cond_11)? ( { 16'd0, exe_arith_add[15:0] }) :
1032
    (cond_276)? ( exe_eip) :
1033
    32'd0;
1034
assign exe_cmpxchg_switch_carry =
1035
    (cond_160)? ( e_cmpxchg_sub[32]) :
1036
    1'd0;
1037
assign exe_trigger_nm_fault =
1038
    (cond_156 && cond_157)? (`TRUE) :
1039
    1'd0;
1040
assign exe_arith_index =
1041
    (cond_0)? ( (`ARITH_VALID | `ARITH_ADD)) :
1042
    (cond_49)? ( (`ARITH_VALID | `ARITH_SUB)) :
1043
    (cond_63)? ( (`ARITH_VALID | `ARITH_SUB)) :
1044
    (cond_64)? ( (exe_cmdex[0] == `FALSE)? (`ARITH_VALID | `ARITH_ADD) : (`ARITH_VALID | `ARITH_SUB)) :
1045
    (cond_144)? ( (`ARITH_VALID | `ARITH_SUB)) :
1046
    (cond_160)? ( (`ARITH_VALID | `ARITH_SUB)) :
1047
    (cond_172)? ( {`TRUE, exe_cmd[2:0]}) :
1048
    (cond_178)? ( (`ARITH_VALID | `ARITH_AND)) :
1049
    4'd0;
1050
assign exe_glob_descriptor_set =
1051
    (cond_24)? (`TRUE) :
1052
    (cond_26 && ~cond_20)? (`TRUE) :
1053
    (cond_45 && cond_36)? (`TRUE) :
1054
    (cond_79 && cond_80)? (`TRUE) :
1055
    (cond_120 && ~cond_44)? (`TRUE) :
1056
    (cond_121)? (`TRUE) :
1057
    (cond_184 && ~cond_44)? (`TRUE) :
1058
    (cond_185)? ( exe_ready) :
1059
    1'd0;
1060
assign exe_result =
1061
    (cond_0)? (  exe_arith_add[31:0]) :
1062
    (cond_1)? ( exe_buffer) :
1063
    (cond_48)? ( { 16'd0, e_seg_by_cmdex }) :
1064
    (cond_49)? ( exe_arith_sub[31:0]) :
1065
    (cond_63)? ( exe_arith_sub[31:0]) :
1066
    (cond_64)? ( (exe_cmdex[0] == `FALSE)? exe_arith_add[31:0] : exe_arith_sub[31:0]) :
1067
    (cond_68)? ( { 16'd0, dst[15:2], src[1:0] }) :
1068
    (cond_69 && cond_11)? ( { dst[7:0], dst[15:8], dst[23:16], dst[31:24] }) :
1069
    (cond_70)? ( glob_param_2) :
1070
    (cond_106)? (  { 24'd0, e_aad_result }) :
1071
    (cond_108)? (  { 16'd0, div_result_quotient[7:0], div_result_remainder[7:0] }) :
1072
    (cond_117)? ( e_bit_result) :
1073
    (cond_138)? ( src) :
1074
    (cond_140)? ( exe_buffer) :
1075
    (cond_141)? ( (exe_is_8bit)?          { 16'd0, div_result_remainder[7:0], div_result_quotient[7:0] } : (exe_operand_16bit)?    { div_result_remainder[15:0], div_result_quotient[15:0] } : div_result_quotient) :
1076
    (cond_142)? ( e_shift_result) :
1077
    (cond_143)? ( e_shift_result) :
1078
    (cond_144)? ( exe_arith_sub[31:0]) :
1079
    (cond_146 && cond_147)? ( e_cr0_reg) :
1080
    (cond_146 && cond_148)? ( cr2) :
1081
    (cond_146 && cond_149)? ( cr3) :
1082
    (cond_152)? ( e_cr0_reg) :
1083
    (cond_158 && cond_159)? ( 32'd1) :
1084
    (cond_160)? (  e_cmpxchg_result) :
1085
    (cond_166)? (  mult_result[31:0]) :
1086
    (cond_168)? ( e_shift_result) :
1087
    (cond_172)? ( ({ 1'b0, exe_cmd[2:0] } == `ARITH_ADD)?   exe_arith_add[31:0] : ({ 1'b0, exe_cmd[2:0] } == `ARITH_OR)?    exe_arith_or : ({ 1'b0, exe_cmd[2:0] } == `ARITH_ADC)?   exe_arith_adc[31:0] : ({ 1'b0, exe_cmd[2:0] } == `ARITH_SBB)?   exe_arith_sbb[31:0] : ({ 1'b0, exe_cmd[2:0] } == `ARITH_AND)?   exe_arith_and : ({ 1'b0, exe_cmd[2:0] } == `ARITH_XOR)?   exe_arith_xor : exe_arith_sub[31:0]) :
1088
    (cond_174)? (  mult_result[31:0]) :
1089
    (cond_178)? ( exe_arith_and) :
1090
    (cond_190)? (  src) :
1091
    (cond_191)? (  src) :
1092
    (cond_192)? ( exe_buffer) :
1093
    (cond_198)? ( exe_arith_not) :
1094
    (cond_199)? ( exe_extra) :
1095
    (cond_239)? (  src) :
1096
    (cond_278)? ( exe_address_effective) :
1097
    (cond_279 && cond_280)? ( { 16'd0, gdtr_limit }) :
1098
    (cond_279 && cond_281)? ( gdtr_base) :
1099
    (cond_282 && cond_280)? ( { 16'd0, idtr_limit }) :
1100
    (cond_282 && cond_281)? ( idtr_base) :
1101
    (cond_284)? ( (exe_cmd == `CMD_MOVSX && exe_is_8bit)?     { {24{src[7]}},  src[7:0] } : (exe_cmd == `CMD_MOVSX)?                    { {16{src[15]}}, src[15:0] } : (exe_cmd == `CMD_MOVZX && exe_is_8bit)?     { 24'd0, src[7:0] } : { 16'd0, src[15:0] }) :
1102
    (cond_286 && cond_288)? ( dr0) :
1103
    (cond_286 && cond_289)? ( dr1) :
1104
    (cond_286 && cond_290)? ( dr2) :
1105
    (cond_286 && cond_291)? ( dr3) :
1106
    (cond_286 && cond_292)? ( { 16'hFFFF, dr6_bt, dr6_bs, dr6_bd, dr6_b12, 8'hFF, dr6_breakpoints }) :
1107
    (cond_286 && cond_293)? ( dr7) :
1108
    (cond_295)? (  src) :
1109
    (cond_296)? ( (exe_cmd == `CMD_AAA)?  { 16'd0, e_aaa_result } : (exe_cmd == `CMD_AAS)?  { 16'd0, e_aas_result } : (exe_cmd == `CMD_DAA)?  { 16'd0, dst[15:8], e_daa_result } : { 16'd0, dst[15:8], e_das_result }) :
1110
    (cond_297 && cond_298)? ( { 27'd0, e_bit_scan_forward }) :
1111
    (cond_297 && cond_299)? ( { 27'd0, e_bit_scan_reverse }) :
1112
    32'd0;
1113
assign exe_trigger_ts_fault =
1114
    (cond_34 && cond_35)? (`TRUE) :
1115
    (cond_74 && cond_76)? (`TRUE) :
1116
    (cond_129 && cond_130)? (`TRUE) :
1117
    (cond_131 && cond_132)? (`TRUE) :
1118
    (cond_213 && cond_214)? (`TRUE) :
1119
    (cond_246 && cond_247)? (`TRUE) :
1120
    (cond_246 && cond_248)? (`TRUE) :
1121
    (cond_264 && cond_265)? (`TRUE) :
1122
    (cond_266 && cond_267 && cond_268)? (`TRUE) :
1123
    (cond_270 && cond_267 && cond_271)? (`TRUE) :
1124
    (cond_273 && cond_267 && cond_274)? (`TRUE) :
1125
    1'd0;
1126
assign exe_glob_param_3_set =
1127
    (cond_34 && cond_36)? (`TRUE) :
1128
    (cond_74 && cond_36)? (`TRUE) :
1129
    (cond_79 && cond_80)? (`TRUE) :
1130
    (cond_120 && ~cond_44)? (`TRUE) :
1131
    (cond_121)? (`TRUE) :
1132
    (cond_184 && ~cond_44)? (`TRUE) :
1133
    (cond_185)? ( exe_ready) :
1134
    (cond_204)? (`TRUE) :
1135
    (cond_207 && cond_210)? (`TRUE) :
1136
    (cond_207 && cond_209)? (`TRUE) :
1137
    1'd0;
1138
assign exe_glob_descriptor_2_value =
1139
    (cond_24)? ( glob_descriptor) :
1140
    (cond_34 && cond_36)? ( glob_descriptor) :
1141
    (cond_74 && cond_36)? ( glob_descriptor) :
1142
    (cond_79 && cond_80)? ( glob_descriptor) :
1143
    (cond_120 && ~cond_44)? ( glob_descriptor) :
1144
    (cond_121)? ( glob_descriptor) :
1145
    (cond_184 && ~cond_44)? ( glob_descriptor) :
1146
    (cond_185)? ( glob_descriptor) :
1147
    64'd0;
1148
assign dr6_bd_set =
1149
    (cond_286 && cond_294)? ( `TRUE) :
1150
    1'd0;
1151
assign offset_enter_last =
1152
    (cond_163)? (`TRUE) :
1153
    1'd0;
1154
assign offset_new_stack =
1155
    (cond_77)? (`TRUE) :
1156
    1'd0;
1157
assign offset_ret_imm =
1158
    (cond_186)? (             exe_decoder[0] == 1'b0) :
1159
    1'd0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.